diff --git a/README b/README index 357179b..211c3d3 100644 --- a/README +++ b/README @@ -161,3 +161,33 @@ Build notes: Chances are good that this is due to the incorrect floorplan DEF (tracks and sites do not cover the entire die area as they should)? Need to look at output. + + Result---The placement actually covers the area in spite of + the incorrect list of tracks/sites, so that doesn't seem to + be the underlying problem. + +(23) Continuing 5/9/2023 after break due to travel. Committed all work + so here's a recap: + Do: + setenv PDK_ROOT /usr/share/pdk + setenv PDK sky130A + cd openlane/openframe_project_wrapper + ~/gits/openlane/flow.tcl -ignore_mismatches + + (had updated sky130A PDK and needed to redo the "/// sta-blackbox" + comment line in sky130_sram_2kbyte_1rw1r_32x512_8.v) + +(24) Continuing 8/11/2023 after the openlane team worked over this. + Copied over all openlane configuration files. The openlane + flow has now been divided into multiple blocks (picoRV32 core, + clock routing, DLL, and then the top level wrapper) which are + hardened hierarchically. Macros were added which connect to the + VCCD1/VSSD1 busses (although preferably there should be additional + connections to VCCD/VSSD and VCCD2/VSSD2 for robustness). + + Note that I am attempting to keep the *unbuilt* sources in the + original "main" repository branch, while working on a build in + a "build" branch. It should be possible to keep a minimum set of + files in the (committed) upstream repository while being able to + generate the final layout through a series of known repeatable + steps. diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds deleted file mode 100644 index 764b362..0000000 Binary files a/gds/user_analog_project_wrapper.gds and /dev/null differ diff --git a/lef/vccd1_connection.lef b/lef/vccd1_connection.lef new file mode 100644 index 0000000..0c13b9c --- /dev/null +++ b/lef/vccd1_connection.lef @@ -0,0 +1,16 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO vccd1_connection + CLASS BLOCK ; + FOREIGN vccd1_connection ; + ORIGIN 0.000 0.000 ; + SIZE 45.400 BY 74.600 ; + OBS + LAYER met3 ; + RECT 0.105 0.100 45.340 74.300 ; + END +END vccd1_connection +END LIBRARY + diff --git a/lef/vssd1_connection.lef b/lef/vssd1_connection.lef new file mode 100644 index 0000000..b81d229 --- /dev/null +++ b/lef/vssd1_connection.lef @@ -0,0 +1,16 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO vssd1_connection + CLASS BLOCK ; + FOREIGN vssd1_connection ; + ORIGIN 0.000 0.000 ; + SIZE 45.400 BY 74.600 ; + OBS + LAYER met3 ; + RECT 0.105 0.100 45.340 74.300 ; + END +END vssd1_connection +END LIBRARY + diff --git a/mag/openframe_project_wrapper_empty.mag b/mag/openframe_project_wrapper_empty.mag new file mode 100644 index 0000000..b7e6a37 --- /dev/null +++ b/mag/openframe_project_wrapper_empty.mag @@ -0,0 +1,4982 @@ +magic +tech sky130A +magscale 1 2 +timestamp 1686252151 +<< obsm1 >> +rect 4 953326 633378 953378 +rect 4 0 56 953326 +rect 633326 0 633378 953326 +rect 4 -52 633378 0 +<< metal2 >> +rect 27497 953270 27558 953726 +rect 29498 953270 29559 953726 +rect 34054 953689 34102 953726 +rect 34046 953637 34110 953689 +rect 34360 953270 34416 953750 +rect 34912 953270 34968 953750 +rect 35556 953270 35612 953750 +rect 36200 953270 36256 953750 +rect 36752 953603 36808 953726 +rect 36692 953539 36864 953603 +rect 36752 953270 36808 953539 +rect 37396 953507 37452 953726 +rect 37396 953443 37570 953507 +rect 37396 953270 37452 953443 +rect 38040 953270 38096 953750 +rect 38592 953270 38648 953750 +rect 39236 953270 39292 953750 +rect 39880 953270 39936 953750 +rect 40432 953411 40488 953726 +rect 41076 953603 41132 953726 +rect 41013 953539 41197 953603 +rect 40432 953347 40605 953411 +rect 40432 953270 40488 953347 +rect 41076 953270 41132 953539 +rect 41720 953507 41776 953726 +rect 41720 953443 41894 953507 +rect 41720 953270 41776 953443 +rect 42364 953270 42420 953750 +rect 42916 953270 42972 953750 +rect 43560 953270 43616 953750 +rect 44204 953270 44260 953750 +rect 44756 953270 44812 953750 +rect 45400 953270 45456 953750 +rect 46044 953270 46100 953726 +rect 46596 953270 46652 953750 +rect 47240 953270 47296 953750 +rect 47884 953270 47940 953726 +rect 48436 953270 48492 953726 +rect 49080 953270 49136 953750 +rect 49724 953507 49780 953726 +rect 49607 953443 49780 953507 +rect 49724 953270 49780 953443 +rect 78697 953270 78758 953726 +rect 80698 953270 80759 953726 +rect 85454 953689 85502 953726 +rect 85446 953637 85510 953689 +rect 85760 953270 85816 953750 +rect 86312 953270 86368 953750 +rect 86956 953270 87012 953750 +rect 87600 953270 87656 953750 +rect 88152 953603 88208 953726 +rect 88092 953539 88264 953603 +rect 88152 953270 88208 953539 +rect 88796 953507 88852 953726 +rect 88796 953443 88970 953507 +rect 88796 953270 88852 953443 +rect 89440 953270 89496 953750 +rect 89992 953270 90048 953750 +rect 90636 953270 90692 953750 +rect 91280 953270 91336 953750 +rect 91832 953411 91888 953726 +rect 92476 953603 92532 953726 +rect 92413 953539 92597 953603 +rect 91832 953347 92005 953411 +rect 91832 953270 91888 953347 +rect 92476 953270 92532 953539 +rect 93120 953507 93176 953726 +rect 93120 953443 93294 953507 +rect 93120 953270 93176 953443 +rect 93764 953270 93820 953750 +rect 94316 953270 94372 953750 +rect 94960 953270 95016 953750 +rect 95604 953270 95660 953750 +rect 96156 953270 96212 953750 +rect 96800 953270 96856 953750 +rect 97444 953270 97500 953726 +rect 97996 953270 98052 953750 +rect 98640 953270 98696 953750 +rect 99284 953270 99340 953726 +rect 99836 953270 99892 953726 +rect 100480 953270 100536 953750 +rect 101124 953507 101180 953726 +rect 101007 953443 101180 953507 +rect 101124 953270 101180 953443 +rect 129897 953270 129958 953726 +rect 131898 953270 131959 953726 +rect 136854 953689 136902 953726 +rect 136846 953637 136910 953689 +rect 137160 953270 137216 953750 +rect 137712 953270 137768 953750 +rect 138356 953270 138412 953750 +rect 139000 953270 139056 953750 +rect 139552 953603 139608 953726 +rect 139492 953539 139664 953603 +rect 139552 953270 139608 953539 +rect 140196 953507 140252 953726 +rect 140196 953443 140370 953507 +rect 140196 953270 140252 953443 +rect 140840 953270 140896 953750 +rect 141392 953270 141448 953750 +rect 142036 953270 142092 953750 +rect 142680 953270 142736 953750 +rect 143232 953411 143288 953726 +rect 143876 953603 143932 953726 +rect 143813 953539 143997 953603 +rect 143232 953347 143405 953411 +rect 143232 953270 143288 953347 +rect 143876 953270 143932 953539 +rect 144520 953507 144576 953726 +rect 144520 953443 144694 953507 +rect 144520 953270 144576 953443 +rect 145164 953270 145220 953750 +rect 145716 953270 145772 953750 +rect 146360 953270 146416 953750 +rect 147004 953270 147060 953750 +rect 147556 953270 147612 953750 +rect 148200 953270 148256 953750 +rect 148844 953270 148900 953726 +rect 149396 953270 149452 953750 +rect 150040 953270 150096 953750 +rect 150684 953270 150740 953726 +rect 151236 953270 151292 953726 +rect 151880 953270 151936 953750 +rect 152524 953507 152580 953726 +rect 152407 953443 152580 953507 +rect 152524 953270 152580 953443 +rect 181097 953270 181158 953726 +rect 183098 953270 183159 953726 +rect 188254 953689 188302 953726 +rect 188246 953637 188310 953689 +rect 188560 953270 188616 953750 +rect 189112 953270 189168 953750 +rect 189756 953270 189812 953750 +rect 190400 953270 190456 953750 +rect 190952 953603 191008 953726 +rect 190892 953539 191064 953603 +rect 190952 953270 191008 953539 +rect 191596 953507 191652 953726 +rect 191596 953443 191770 953507 +rect 191596 953270 191652 953443 +rect 192240 953270 192296 953750 +rect 192792 953270 192848 953750 +rect 193436 953270 193492 953750 +rect 194080 953270 194136 953750 +rect 194632 953411 194688 953726 +rect 195276 953603 195332 953726 +rect 195213 953539 195397 953603 +rect 194632 953347 194805 953411 +rect 194632 953270 194688 953347 +rect 195276 953270 195332 953539 +rect 195920 953507 195976 953726 +rect 195920 953443 196094 953507 +rect 195920 953270 195976 953443 +rect 196564 953270 196620 953750 +rect 197116 953270 197172 953750 +rect 197760 953270 197816 953750 +rect 198404 953270 198460 953750 +rect 198956 953270 199012 953750 +rect 199600 953270 199656 953750 +rect 200244 953270 200300 953726 +rect 200796 953270 200852 953750 +rect 201440 953270 201496 953750 +rect 202084 953270 202140 953726 +rect 202636 953270 202692 953726 +rect 203280 953270 203336 953750 +rect 203924 953507 203980 953726 +rect 203807 953443 203980 953507 +rect 203924 953270 203980 953443 +rect 232297 953270 232358 953726 +rect 234298 953270 234359 953726 +rect 239854 953689 239902 953726 +rect 239846 953637 239910 953689 +rect 240160 953270 240216 953750 +rect 240712 953270 240768 953750 +rect 241356 953270 241412 953750 +rect 242000 953270 242056 953750 +rect 242552 953603 242608 953726 +rect 242492 953539 242664 953603 +rect 242552 953270 242608 953539 +rect 243196 953507 243252 953726 +rect 243196 953443 243370 953507 +rect 243196 953270 243252 953443 +rect 243840 953270 243896 953750 +rect 244392 953270 244448 953750 +rect 245036 953270 245092 953750 +rect 245680 953270 245736 953750 +rect 246232 953411 246288 953726 +rect 246876 953603 246932 953726 +rect 246813 953539 246997 953603 +rect 246232 953347 246405 953411 +rect 246232 953270 246288 953347 +rect 246876 953270 246932 953539 +rect 247520 953507 247576 953726 +rect 247520 953443 247694 953507 +rect 247520 953270 247576 953443 +rect 248164 953270 248220 953750 +rect 248716 953270 248772 953750 +rect 249360 953270 249416 953750 +rect 250004 953270 250060 953750 +rect 250556 953270 250612 953750 +rect 251200 953270 251256 953750 +rect 251844 953270 251900 953726 +rect 252396 953270 252452 953750 +rect 253040 953270 253096 953750 +rect 253684 953270 253740 953726 +rect 254236 953270 254292 953726 +rect 254880 953270 254936 953750 +rect 255524 953507 255580 953726 +rect 255407 953443 255580 953507 +rect 255524 953270 255580 953443 +rect 336697 953270 336758 953726 +rect 338698 953270 338759 953726 +rect 341654 953689 341702 953726 +rect 341646 953637 341710 953689 +rect 341960 953270 342016 953750 +rect 342512 953270 342568 953750 +rect 343156 953270 343212 953750 +rect 343800 953270 343856 953750 +rect 344352 953603 344408 953726 +rect 344292 953539 344464 953603 +rect 344352 953270 344408 953539 +rect 344996 953507 345052 953726 +rect 344996 953443 345170 953507 +rect 344996 953270 345052 953443 +rect 345640 953270 345696 953750 +rect 346192 953270 346248 953750 +rect 346836 953270 346892 953750 +rect 347480 953270 347536 953750 +rect 348032 953411 348088 953726 +rect 348676 953603 348732 953726 +rect 348613 953539 348797 953603 +rect 348032 953347 348205 953411 +rect 348032 953270 348088 953347 +rect 348676 953270 348732 953539 +rect 349320 953507 349376 953726 +rect 349320 953443 349494 953507 +rect 349320 953270 349376 953443 +rect 349964 953270 350020 953750 +rect 350516 953270 350572 953750 +rect 351160 953270 351216 953750 +rect 351804 953270 351860 953750 +rect 352356 953270 352412 953750 +rect 353000 953270 353056 953750 +rect 353644 953270 353700 953726 +rect 354196 953270 354252 953750 +rect 354840 953270 354896 953750 +rect 355484 953270 355540 953726 +rect 356036 953270 356092 953726 +rect 356680 953270 356736 953750 +rect 357324 953507 357380 953726 +rect 357207 953443 357380 953507 +rect 357324 953270 357380 953443 +rect 425697 953270 425758 953726 +rect 427698 953270 427759 953726 +rect 430654 953689 430702 953726 +rect 430646 953637 430710 953689 +rect 430960 953270 431016 953750 +rect 431512 953270 431568 953750 +rect 432156 953270 432212 953750 +rect 432800 953270 432856 953750 +rect 433352 953603 433408 953726 +rect 433292 953539 433464 953603 +rect 433352 953270 433408 953539 +rect 433996 953507 434052 953726 +rect 433996 953443 434170 953507 +rect 433996 953270 434052 953443 +rect 434640 953270 434696 953750 +rect 435192 953270 435248 953750 +rect 435836 953270 435892 953750 +rect 436480 953270 436536 953750 +rect 437032 953411 437088 953726 +rect 437676 953603 437732 953726 +rect 437613 953539 437797 953603 +rect 437032 953347 437205 953411 +rect 437032 953270 437088 953347 +rect 437676 953270 437732 953539 +rect 438320 953507 438376 953726 +rect 438320 953443 438494 953507 +rect 438320 953270 438376 953443 +rect 438964 953270 439020 953750 +rect 439516 953270 439572 953750 +rect 440160 953270 440216 953750 +rect 440804 953270 440860 953750 +rect 441356 953270 441412 953750 +rect 442000 953270 442056 953750 +rect 442644 953270 442700 953726 +rect 443196 953270 443252 953750 +rect 443840 953270 443896 953750 +rect 444484 953270 444540 953726 +rect 445036 953270 445092 953726 +rect 445680 953270 445736 953750 +rect 446324 953507 446380 953726 +rect 446207 953443 446380 953507 +rect 446324 953270 446380 953443 +rect 476897 953270 476958 953726 +rect 478898 953270 478959 953726 +rect 482054 953689 482102 953726 +rect 482046 953637 482110 953689 +rect 482360 953270 482416 953750 +rect 482912 953270 482968 953750 +rect 483556 953270 483612 953750 +rect 484200 953270 484256 953750 +rect 484752 953603 484808 953726 +rect 484692 953539 484864 953603 +rect 484752 953270 484808 953539 +rect 485396 953507 485452 953726 +rect 485396 953443 485570 953507 +rect 485396 953270 485452 953443 +rect 486040 953270 486096 953750 +rect 486592 953270 486648 953750 +rect 487236 953270 487292 953750 +rect 487880 953270 487936 953750 +rect 488432 953411 488488 953726 +rect 489076 953603 489132 953726 +rect 489013 953539 489197 953603 +rect 488432 953347 488605 953411 +rect 488432 953270 488488 953347 +rect 489076 953270 489132 953539 +rect 489720 953507 489776 953726 +rect 489720 953443 489894 953507 +rect 489720 953270 489776 953443 +rect 490364 953270 490420 953750 +rect 490916 953270 490972 953750 +rect 491560 953270 491616 953750 +rect 492204 953270 492260 953750 +rect 492756 953270 492812 953750 +rect 493400 953270 493456 953750 +rect 494044 953270 494100 953726 +rect 494596 953270 494652 953750 +rect 495240 953270 495296 953750 +rect 495884 953270 495940 953726 +rect 496436 953270 496492 953726 +rect 497080 953270 497136 953750 +rect 497724 953507 497780 953726 +rect 497607 953443 497780 953507 +rect 497724 953270 497780 953443 +rect 576297 953270 576358 953726 +rect 578298 953270 578359 953726 +rect 583854 953689 583902 953726 +rect 583846 953637 583910 953689 +rect 584160 953270 584216 953750 +rect 584712 953270 584768 953750 +rect 585356 953270 585412 953750 +rect 586000 953270 586056 953750 +rect 586552 953603 586608 953726 +rect 586492 953539 586664 953603 +rect 586552 953270 586608 953539 +rect 587196 953507 587252 953726 +rect 587196 953443 587370 953507 +rect 587196 953270 587252 953443 +rect 587840 953270 587896 953750 +rect 588392 953270 588448 953750 +rect 589036 953270 589092 953750 +rect 589680 953270 589736 953750 +rect 590232 953411 590288 953726 +rect 590876 953603 590932 953726 +rect 590813 953539 590997 953603 +rect 590232 953347 590405 953411 +rect 590232 953270 590288 953347 +rect 590876 953270 590932 953539 +rect 591520 953507 591576 953726 +rect 591520 953443 591694 953507 +rect 591520 953270 591576 953443 +rect 592164 953270 592220 953750 +rect 592716 953270 592772 953750 +rect 593360 953270 593416 953750 +rect 594004 953270 594060 953750 +rect 594556 953270 594612 953750 +rect 595200 953270 595256 953750 +rect 595844 953270 595900 953726 +rect 596396 953270 596452 953750 +rect 597040 953270 597096 953750 +rect 597684 953270 597740 953726 +rect 598236 953270 598292 953726 +rect 598880 953270 598936 953750 +rect 599524 953507 599580 953726 +rect 599407 953443 599580 953507 +rect 599524 953270 599580 953443 +rect -400 927724 56 927780 +rect -181 927607 -117 927724 +rect -274 927136 56 927143 +rect -400 927080 56 927136 +rect -274 927073 56 927080 +rect -400 926436 56 926492 +rect -400 925884 56 925940 +rect -274 925296 56 925303 +rect -400 925240 56 925296 +rect -274 925233 56 925240 +rect 633270 925110 633726 925166 +rect -274 924652 56 924659 +rect -400 924596 56 924652 +rect -274 924589 56 924596 +rect 633270 924614 633600 924621 +rect 633270 924558 633726 924614 +rect 633270 924551 633600 924558 +rect -400 924044 56 924100 +rect 633270 923970 633600 923977 +rect 633270 923914 633726 923970 +rect 633270 923907 633600 923914 +rect -274 923456 56 923463 +rect -400 923400 56 923456 +rect -274 923393 56 923400 +rect 633270 923326 633600 923333 +rect 633270 923270 633726 923326 +rect 633270 923263 633600 923270 +rect -400 922756 56 922812 +rect 633539 922774 633603 922834 +rect 633270 922718 633726 922774 +rect 633539 922662 633603 922718 +rect -274 922260 56 922267 +rect -400 922204 56 922260 +rect -274 922197 56 922204 +rect 633270 922074 633726 922130 +rect 633443 921956 633507 922074 +rect -274 921616 56 921623 +rect -400 921560 56 921616 +rect -274 921553 56 921560 +rect 633270 921486 633600 921493 +rect 633270 921430 633726 921486 +rect 633270 921423 633600 921430 +rect -274 920972 56 920979 +rect -400 920916 56 920972 +rect -274 920909 56 920916 +rect 633270 920934 633600 920941 +rect 633270 920878 633726 920934 +rect 633270 920871 633600 920878 +rect -274 920420 56 920427 +rect -400 920364 56 920420 +rect -274 920357 56 920364 +rect 633270 920290 633600 920297 +rect 633270 920234 633726 920290 +rect 633270 920227 633600 920234 +rect -181 919776 -117 919894 +rect -400 919720 56 919776 +rect 633270 919646 633600 919653 +rect 633270 919590 633726 919646 +rect 633270 919583 633600 919590 +rect -277 919132 -213 919197 +rect -400 919076 56 919132 +rect -277 919013 -213 919076 +rect 633270 919038 633726 919094 +rect 633347 918921 633411 919038 +rect -85 918488 -21 918605 +rect -400 918432 56 918488 +rect 633539 918450 633603 918513 +rect 633270 918394 633726 918450 +rect 633539 918329 633603 918394 +rect -274 917936 56 917943 +rect -400 917880 56 917936 +rect -274 917873 56 917880 +rect 633270 917750 633726 917806 +rect 633443 917632 633507 917750 +rect -274 917292 56 917299 +rect -400 917236 56 917292 +rect -274 917229 56 917236 +rect 633270 917162 633600 917169 +rect 633270 917106 633726 917162 +rect 633270 917099 633600 917106 +rect -274 916648 56 916655 +rect -400 916592 56 916648 +rect -274 916585 56 916592 +rect 633270 916610 633600 916617 +rect 633270 916554 633726 916610 +rect 633270 916547 633600 916554 +rect -274 916096 56 916103 +rect -400 916040 56 916096 +rect -274 916033 56 916040 +rect 633270 915966 633600 915973 +rect 633270 915910 633726 915966 +rect 633270 915903 633600 915910 +rect -181 915452 -117 915570 +rect -400 915396 56 915452 +rect 633270 915322 633600 915329 +rect 633270 915266 633726 915322 +rect 633270 915259 633600 915266 +rect -277 914808 -213 914864 +rect -400 914752 56 914808 +rect -277 914692 -213 914752 +rect 633270 914714 633726 914770 +rect -274 914256 56 914263 +rect -400 914200 56 914256 +rect -274 914193 56 914200 +rect 633270 914126 633600 914133 +rect 633270 914070 633726 914126 +rect 633270 914063 633600 914070 +rect -274 913612 56 913619 +rect -400 913556 56 913612 +rect -274 913549 56 913556 +rect 633270 913426 633726 913482 +rect -274 912968 56 912975 +rect -400 912912 56 912968 +rect -274 912905 56 912912 +rect 633270 912930 633600 912937 +rect 633270 912874 633726 912930 +rect 633270 912867 633600 912874 +rect -400 912360 56 912416 +rect 633270 912286 633600 912293 +rect 633270 912230 633726 912286 +rect 633270 912223 633600 912230 +rect 633270 911586 633726 911642 +rect 633270 911034 633726 911090 +rect 633270 910446 633600 910453 +rect 633270 910390 633726 910446 +rect 633270 910383 633600 910390 +rect 633443 909802 633507 909919 +rect 633270 909746 633726 909802 +rect 633270 835910 633726 835966 +rect 633270 835414 633600 835421 +rect 633270 835358 633726 835414 +rect 633270 835351 633600 835358 +rect 633270 834770 633600 834777 +rect 633270 834714 633726 834770 +rect 633270 834707 633600 834714 +rect 633270 834126 633600 834133 +rect 633270 834070 633726 834126 +rect 633270 834063 633600 834070 +rect 633539 833574 633603 833634 +rect 633270 833518 633726 833574 +rect 633539 833462 633603 833518 +rect 633270 832874 633726 832930 +rect 633443 832756 633507 832874 +rect 633270 832286 633600 832293 +rect 633270 832230 633726 832286 +rect 633270 832223 633600 832230 +rect 633270 831734 633600 831741 +rect 633270 831678 633726 831734 +rect 633270 831671 633600 831678 +rect 633270 831090 633600 831097 +rect 633270 831034 633726 831090 +rect 633270 831027 633600 831034 +rect 633270 830446 633600 830453 +rect 633270 830390 633726 830446 +rect 633270 830383 633600 830390 +rect 633270 829838 633726 829894 +rect 633347 829721 633411 829838 +rect 633539 829250 633603 829313 +rect 633270 829194 633726 829250 +rect 633539 829129 633603 829194 +rect 633270 828550 633726 828606 +rect 633443 828432 633507 828550 +rect 633270 827962 633600 827969 +rect 633270 827906 633726 827962 +rect 633270 827899 633600 827906 +rect 633270 827410 633600 827417 +rect 633270 827354 633726 827410 +rect 633270 827347 633600 827354 +rect 633270 826766 633600 826773 +rect 633270 826710 633726 826766 +rect 633270 826703 633600 826710 +rect 633270 826122 633600 826129 +rect 633270 826066 633726 826122 +rect 633270 826059 633600 826066 +rect 633270 825514 633726 825570 +rect 633270 824926 633600 824933 +rect 633270 824870 633726 824926 +rect 633270 824863 633600 824870 +rect 633270 824226 633726 824282 +rect 633270 823730 633600 823737 +rect 633270 823674 633726 823730 +rect 633270 823667 633600 823674 +rect 633270 823086 633600 823093 +rect 633270 823030 633726 823086 +rect 633270 823023 633600 823030 +rect 633270 822386 633726 822442 +rect 633270 821834 633726 821890 +rect 633270 821246 633600 821253 +rect 633270 821190 633726 821246 +rect 633270 821183 633600 821190 +rect 633443 820602 633507 820719 +rect 633270 820546 633726 820602 +rect -400 757924 56 757980 +rect -181 757807 -117 757924 +rect -274 757336 56 757343 +rect -400 757280 56 757336 +rect -274 757273 56 757280 +rect -400 756636 56 756692 +rect -400 756084 56 756140 +rect -274 755496 56 755503 +rect -400 755440 56 755496 +rect -274 755433 56 755440 +rect -274 754852 56 754859 +rect -400 754796 56 754852 +rect -274 754789 56 754796 +rect -400 754244 56 754300 +rect -274 753656 56 753663 +rect -400 753600 56 753656 +rect -274 753593 56 753600 +rect -400 752956 56 753012 +rect -274 752460 56 752467 +rect -400 752404 56 752460 +rect -274 752397 56 752404 +rect -274 751816 56 751823 +rect -400 751760 56 751816 +rect -274 751753 56 751760 +rect -274 751172 56 751179 +rect -400 751116 56 751172 +rect -274 751109 56 751116 +rect -274 750620 56 750627 +rect -400 750564 56 750620 +rect -274 750557 56 750564 +rect -181 749976 -117 750094 +rect -400 749920 56 749976 +rect -277 749332 -213 749397 +rect -400 749276 56 749332 +rect -277 749213 -213 749276 +rect -85 748688 -21 748805 +rect -400 748632 56 748688 +rect -274 748136 56 748143 +rect -400 748080 56 748136 +rect -274 748073 56 748080 +rect -274 747492 56 747499 +rect -400 747436 56 747492 +rect -274 747429 56 747436 +rect -274 746848 56 746855 +rect -400 746792 56 746848 +rect -274 746785 56 746792 +rect 633270 746710 633726 746766 +rect -274 746296 56 746303 +rect -400 746240 56 746296 +rect -274 746233 56 746240 +rect 633270 746214 633600 746221 +rect 633270 746158 633726 746214 +rect 633270 746151 633600 746158 +rect -181 745652 -117 745770 +rect -400 745596 56 745652 +rect 633270 745570 633600 745577 +rect 633270 745514 633726 745570 +rect 633270 745507 633600 745514 +rect -277 745008 -213 745064 +rect -400 744952 56 745008 +rect -277 744892 -213 744952 +rect 633270 744926 633600 744933 +rect 633270 744870 633726 744926 +rect 633270 744863 633600 744870 +rect -274 744456 56 744463 +rect -400 744400 56 744456 +rect -274 744393 56 744400 +rect 633539 744374 633603 744434 +rect 633270 744318 633726 744374 +rect 633539 744262 633603 744318 +rect -274 743812 56 743819 +rect -400 743756 56 743812 +rect -274 743749 56 743756 +rect 633270 743674 633726 743730 +rect 633443 743556 633507 743674 +rect -274 743168 56 743175 +rect -400 743112 56 743168 +rect -274 743105 56 743112 +rect 633270 743086 633600 743093 +rect 633270 743030 633726 743086 +rect 633270 743023 633600 743030 +rect -400 742560 56 742616 +rect 633270 742534 633600 742541 +rect 633270 742478 633726 742534 +rect 633270 742471 633600 742478 +rect 633270 741890 633600 741897 +rect 633270 741834 633726 741890 +rect 633270 741827 633600 741834 +rect 633270 741246 633600 741253 +rect 633270 741190 633726 741246 +rect 633270 741183 633600 741190 +rect 633270 740638 633726 740694 +rect 633347 740521 633411 740638 +rect 633539 740050 633603 740113 +rect 633270 739994 633726 740050 +rect 633539 739929 633603 739994 +rect 633270 739350 633726 739406 +rect 633443 739232 633507 739350 +rect 633270 738762 633600 738769 +rect 633270 738706 633726 738762 +rect 633270 738699 633600 738706 +rect 633270 738210 633600 738217 +rect 633270 738154 633726 738210 +rect 633270 738147 633600 738154 +rect 633270 737566 633600 737573 +rect 633270 737510 633726 737566 +rect 633270 737503 633600 737510 +rect 633270 736922 633600 736929 +rect 633270 736866 633726 736922 +rect 633270 736859 633600 736866 +rect 633270 736314 633726 736370 +rect 633270 735726 633600 735733 +rect 633270 735670 633726 735726 +rect 633270 735663 633600 735670 +rect 633270 735026 633726 735082 +rect 633270 734530 633600 734537 +rect 633270 734474 633726 734530 +rect 633270 734467 633600 734474 +rect 633270 733886 633600 733893 +rect 633270 733830 633726 733886 +rect 633270 733823 633600 733830 +rect 633270 733186 633726 733242 +rect 633270 732634 633726 732690 +rect 633270 732046 633600 732053 +rect 633270 731990 633726 732046 +rect 633270 731983 633600 731990 +rect 633443 731402 633507 731519 +rect 633270 731346 633726 731402 +rect -400 714724 56 714780 +rect -181 714607 -117 714724 +rect -274 714136 56 714143 +rect -400 714080 56 714136 +rect -274 714073 56 714080 +rect -400 713436 56 713492 +rect -400 712884 56 712940 +rect -274 712296 56 712303 +rect -400 712240 56 712296 +rect -274 712233 56 712240 +rect -274 711652 56 711659 +rect -400 711596 56 711652 +rect -274 711589 56 711596 +rect -400 711044 56 711100 +rect -274 710456 56 710463 +rect -400 710400 56 710456 +rect -274 710393 56 710400 +rect -400 709756 56 709812 +rect -274 709260 56 709267 +rect -400 709204 56 709260 +rect -274 709197 56 709204 +rect -274 708616 56 708623 +rect -400 708560 56 708616 +rect -274 708553 56 708560 +rect -274 707972 56 707979 +rect -400 707916 56 707972 +rect -274 707909 56 707916 +rect -274 707420 56 707427 +rect -400 707364 56 707420 +rect -274 707357 56 707364 +rect -181 706776 -117 706894 +rect -400 706720 56 706776 +rect -277 706132 -213 706197 +rect -400 706076 56 706132 +rect -277 706013 -213 706076 +rect -85 705488 -21 705605 +rect -400 705432 56 705488 +rect -274 704936 56 704943 +rect -400 704880 56 704936 +rect -274 704873 56 704880 +rect -274 704292 56 704299 +rect -400 704236 56 704292 +rect -274 704229 56 704236 +rect -274 703648 56 703655 +rect -400 703592 56 703648 +rect -274 703585 56 703592 +rect -274 703096 56 703103 +rect -400 703040 56 703096 +rect -274 703033 56 703040 +rect -181 702452 -117 702570 +rect -400 702396 56 702452 +rect -277 701808 -213 701864 +rect -400 701752 56 701808 +rect -277 701692 -213 701752 +rect 633270 701710 633726 701766 +rect -274 701256 56 701263 +rect -400 701200 56 701256 +rect -274 701193 56 701200 +rect 633270 701214 633600 701221 +rect 633270 701158 633726 701214 +rect 633270 701151 633600 701158 +rect -274 700612 56 700619 +rect -400 700556 56 700612 +rect -274 700549 56 700556 +rect 633270 700570 633600 700577 +rect 633270 700514 633726 700570 +rect 633270 700507 633600 700514 +rect -274 699968 56 699975 +rect -400 699912 56 699968 +rect -274 699905 56 699912 +rect 633270 699926 633600 699933 +rect 633270 699870 633726 699926 +rect 633270 699863 633600 699870 +rect -400 699360 56 699416 +rect 633539 699374 633603 699434 +rect 633270 699318 633726 699374 +rect 633539 699262 633603 699318 +rect 633270 698674 633726 698730 +rect 633443 698556 633507 698674 +rect 633270 698086 633600 698093 +rect 633270 698030 633726 698086 +rect 633270 698023 633600 698030 +rect 633270 697534 633600 697541 +rect 633270 697478 633726 697534 +rect 633270 697471 633600 697478 +rect 633270 696890 633600 696897 +rect 633270 696834 633726 696890 +rect 633270 696827 633600 696834 +rect 633270 696246 633600 696253 +rect 633270 696190 633726 696246 +rect 633270 696183 633600 696190 +rect 633270 695638 633726 695694 +rect 633347 695521 633411 695638 +rect 633539 695050 633603 695113 +rect 633270 694994 633726 695050 +rect 633539 694929 633603 694994 +rect 633270 694350 633726 694406 +rect 633443 694232 633507 694350 +rect 633270 693762 633600 693769 +rect 633270 693706 633726 693762 +rect 633270 693699 633600 693706 +rect 633270 693210 633600 693217 +rect 633270 693154 633726 693210 +rect 633270 693147 633600 693154 +rect 633270 692566 633600 692573 +rect 633270 692510 633726 692566 +rect 633270 692503 633600 692510 +rect 633270 691922 633600 691929 +rect 633270 691866 633726 691922 +rect 633270 691859 633600 691866 +rect 633270 691314 633726 691370 +rect 633270 690726 633600 690733 +rect 633270 690670 633726 690726 +rect 633270 690663 633600 690670 +rect 633270 690026 633726 690082 +rect 633270 689530 633600 689537 +rect 633270 689474 633726 689530 +rect 633270 689467 633600 689474 +rect 633270 688886 633600 688893 +rect 633270 688830 633726 688886 +rect 633270 688823 633600 688830 +rect 633270 688186 633726 688242 +rect 633270 687634 633726 687690 +rect 633270 687046 633600 687053 +rect 633270 686990 633726 687046 +rect 633270 686983 633600 686990 +rect 633443 686402 633507 686519 +rect 633270 686346 633726 686402 +rect -400 671524 56 671580 +rect -181 671407 -117 671524 +rect -274 670936 56 670943 +rect -400 670880 56 670936 +rect -274 670873 56 670880 +rect -400 670236 56 670292 +rect -400 669684 56 669740 +rect -274 669096 56 669103 +rect -400 669040 56 669096 +rect -274 669033 56 669040 +rect -274 668452 56 668459 +rect -400 668396 56 668452 +rect -274 668389 56 668396 +rect -400 667844 56 667900 +rect -274 667256 56 667263 +rect -400 667200 56 667256 +rect -274 667193 56 667200 +rect -400 666556 56 666612 +rect -274 666060 56 666067 +rect -400 666004 56 666060 +rect -274 665997 56 666004 +rect -274 665416 56 665423 +rect -400 665360 56 665416 +rect -274 665353 56 665360 +rect -274 664772 56 664779 +rect -400 664716 56 664772 +rect -274 664709 56 664716 +rect -274 664220 56 664227 +rect -400 664164 56 664220 +rect -274 664157 56 664164 +rect -181 663576 -117 663694 +rect -400 663520 56 663576 +rect -277 662932 -213 662997 +rect -400 662876 56 662932 +rect -277 662813 -213 662876 +rect -85 662288 -21 662405 +rect -400 662232 56 662288 +rect -274 661736 56 661743 +rect -400 661680 56 661736 +rect -274 661673 56 661680 +rect -274 661092 56 661099 +rect -400 661036 56 661092 +rect -274 661029 56 661036 +rect -274 660448 56 660455 +rect -400 660392 56 660448 +rect -274 660385 56 660392 +rect -274 659896 56 659903 +rect -400 659840 56 659896 +rect -274 659833 56 659840 +rect -181 659252 -117 659370 +rect -400 659196 56 659252 +rect -277 658608 -213 658664 +rect -400 658552 56 658608 +rect -277 658492 -213 658552 +rect -274 658056 56 658063 +rect -400 658000 56 658056 +rect -274 657993 56 658000 +rect -274 657412 56 657419 +rect -400 657356 56 657412 +rect -274 657349 56 657356 +rect -274 656768 56 656775 +rect -400 656712 56 656768 +rect -274 656705 56 656712 +rect 633270 656710 633726 656766 +rect -400 656160 56 656216 +rect 633270 656214 633600 656221 +rect 633270 656158 633726 656214 +rect 633270 656151 633600 656158 +rect 633270 655570 633600 655577 +rect 633270 655514 633726 655570 +rect 633270 655507 633600 655514 +rect 633270 654926 633600 654933 +rect 633270 654870 633726 654926 +rect 633270 654863 633600 654870 +rect 633539 654374 633603 654434 +rect 633270 654318 633726 654374 +rect 633539 654262 633603 654318 +rect 633270 653674 633726 653730 +rect 633443 653556 633507 653674 +rect 633270 653086 633600 653093 +rect 633270 653030 633726 653086 +rect 633270 653023 633600 653030 +rect 633270 652534 633600 652541 +rect 633270 652478 633726 652534 +rect 633270 652471 633600 652478 +rect 633270 651890 633600 651897 +rect 633270 651834 633726 651890 +rect 633270 651827 633600 651834 +rect 633270 651246 633600 651253 +rect 633270 651190 633726 651246 +rect 633270 651183 633600 651190 +rect 633270 650638 633726 650694 +rect 633347 650521 633411 650638 +rect 633539 650050 633603 650113 +rect 633270 649994 633726 650050 +rect 633539 649929 633603 649994 +rect 633270 649350 633726 649406 +rect 633443 649232 633507 649350 +rect 633270 648762 633600 648769 +rect 633270 648706 633726 648762 +rect 633270 648699 633600 648706 +rect 633270 648210 633600 648217 +rect 633270 648154 633726 648210 +rect 633270 648147 633600 648154 +rect 633270 647566 633600 647573 +rect 633270 647510 633726 647566 +rect 633270 647503 633600 647510 +rect 633270 646922 633600 646929 +rect 633270 646866 633726 646922 +rect 633270 646859 633600 646866 +rect 633270 646314 633726 646370 +rect 633270 645726 633600 645733 +rect 633270 645670 633726 645726 +rect 633270 645663 633600 645670 +rect 633270 645026 633726 645082 +rect 633270 644530 633600 644537 +rect 633270 644474 633726 644530 +rect 633270 644467 633600 644474 +rect 633270 643886 633600 643893 +rect 633270 643830 633726 643886 +rect 633270 643823 633600 643830 +rect 633270 643186 633726 643242 +rect 633270 642634 633726 642690 +rect 633270 642046 633600 642053 +rect 633270 641990 633726 642046 +rect 633270 641983 633600 641990 +rect 633443 641402 633507 641519 +rect 633270 641346 633726 641402 +rect -400 628324 56 628380 +rect -181 628207 -117 628324 +rect -274 627736 56 627743 +rect -400 627680 56 627736 +rect -274 627673 56 627680 +rect -400 627036 56 627092 +rect -400 626484 56 626540 +rect -274 625896 56 625903 +rect -400 625840 56 625896 +rect -274 625833 56 625840 +rect -274 625252 56 625259 +rect -400 625196 56 625252 +rect -274 625189 56 625196 +rect -400 624644 56 624700 +rect -274 624056 56 624063 +rect -400 624000 56 624056 +rect -274 623993 56 624000 +rect -400 623356 56 623412 +rect -274 622860 56 622867 +rect -400 622804 56 622860 +rect -274 622797 56 622804 +rect -274 622216 56 622223 +rect -400 622160 56 622216 +rect -274 622153 56 622160 +rect -274 621572 56 621579 +rect -400 621516 56 621572 +rect -274 621509 56 621516 +rect -274 621020 56 621027 +rect -400 620964 56 621020 +rect -274 620957 56 620964 +rect -181 620376 -117 620494 +rect -400 620320 56 620376 +rect -277 619732 -213 619797 +rect -400 619676 56 619732 +rect -277 619613 -213 619676 +rect -85 619088 -21 619205 +rect -400 619032 56 619088 +rect -274 618536 56 618543 +rect -400 618480 56 618536 +rect -274 618473 56 618480 +rect -274 617892 56 617899 +rect -400 617836 56 617892 +rect -274 617829 56 617836 +rect -274 617248 56 617255 +rect -400 617192 56 617248 +rect -274 617185 56 617192 +rect -274 616696 56 616703 +rect -400 616640 56 616696 +rect -274 616633 56 616640 +rect -181 616052 -117 616170 +rect -400 615996 56 616052 +rect -277 615408 -213 615464 +rect -400 615352 56 615408 +rect -277 615292 -213 615352 +rect -274 614856 56 614863 +rect -400 614800 56 614856 +rect -274 614793 56 614800 +rect -274 614212 56 614219 +rect -400 614156 56 614212 +rect -274 614149 56 614156 +rect -274 613568 56 613575 +rect -400 613512 56 613568 +rect -274 613505 56 613512 +rect -400 612960 56 613016 +rect 633270 611510 633726 611566 +rect 633270 611014 633600 611021 +rect 633270 610958 633726 611014 +rect 633270 610951 633600 610958 +rect 633270 610370 633600 610377 +rect 633270 610314 633726 610370 +rect 633270 610307 633600 610314 +rect 633270 609726 633600 609733 +rect 633270 609670 633726 609726 +rect 633270 609663 633600 609670 +rect 633539 609174 633603 609234 +rect 633270 609118 633726 609174 +rect 633539 609062 633603 609118 +rect 633270 608474 633726 608530 +rect 633443 608356 633507 608474 +rect 633270 607886 633600 607893 +rect 633270 607830 633726 607886 +rect 633270 607823 633600 607830 +rect 633270 607334 633600 607341 +rect 633270 607278 633726 607334 +rect 633270 607271 633600 607278 +rect 633270 606690 633600 606697 +rect 633270 606634 633726 606690 +rect 633270 606627 633600 606634 +rect 633270 606046 633600 606053 +rect 633270 605990 633726 606046 +rect 633270 605983 633600 605990 +rect 633270 605438 633726 605494 +rect 633347 605321 633411 605438 +rect 633539 604850 633603 604913 +rect 633270 604794 633726 604850 +rect 633539 604729 633603 604794 +rect 633270 604150 633726 604206 +rect 633443 604032 633507 604150 +rect 633270 603562 633600 603569 +rect 633270 603506 633726 603562 +rect 633270 603499 633600 603506 +rect 633270 603010 633600 603017 +rect 633270 602954 633726 603010 +rect 633270 602947 633600 602954 +rect 633270 602366 633600 602373 +rect 633270 602310 633726 602366 +rect 633270 602303 633600 602310 +rect 633270 601722 633600 601729 +rect 633270 601666 633726 601722 +rect 633270 601659 633600 601666 +rect 633270 601114 633726 601170 +rect 633270 600526 633600 600533 +rect 633270 600470 633726 600526 +rect 633270 600463 633600 600470 +rect 633270 599826 633726 599882 +rect 633270 599330 633600 599337 +rect 633270 599274 633726 599330 +rect 633270 599267 633600 599274 +rect 633270 598686 633600 598693 +rect 633270 598630 633726 598686 +rect 633270 598623 633600 598630 +rect 633270 597986 633726 598042 +rect 633270 597434 633726 597490 +rect 633270 596846 633600 596853 +rect 633270 596790 633726 596846 +rect 633270 596783 633600 596790 +rect 633443 596202 633507 596319 +rect 633270 596146 633726 596202 +rect -400 585124 56 585180 +rect -181 585007 -117 585124 +rect -274 584536 56 584543 +rect -400 584480 56 584536 +rect -274 584473 56 584480 +rect -400 583836 56 583892 +rect -400 583284 56 583340 +rect -274 582696 56 582703 +rect -400 582640 56 582696 +rect -274 582633 56 582640 +rect -274 582052 56 582059 +rect -400 581996 56 582052 +rect -274 581989 56 581996 +rect -400 581444 56 581500 +rect -274 580856 56 580863 +rect -400 580800 56 580856 +rect -274 580793 56 580800 +rect -400 580156 56 580212 +rect -274 579660 56 579667 +rect -400 579604 56 579660 +rect -274 579597 56 579604 +rect -274 579016 56 579023 +rect -400 578960 56 579016 +rect -274 578953 56 578960 +rect -274 578372 56 578379 +rect -400 578316 56 578372 +rect -274 578309 56 578316 +rect -274 577820 56 577827 +rect -400 577764 56 577820 +rect -274 577757 56 577764 +rect -181 577176 -117 577294 +rect -400 577120 56 577176 +rect -277 576532 -213 576597 +rect -400 576476 56 576532 +rect -277 576413 -213 576476 +rect -85 575888 -21 576005 +rect -400 575832 56 575888 +rect -274 575336 56 575343 +rect -400 575280 56 575336 +rect -274 575273 56 575280 +rect -274 574692 56 574699 +rect -400 574636 56 574692 +rect -274 574629 56 574636 +rect -274 574048 56 574055 +rect -400 573992 56 574048 +rect -274 573985 56 573992 +rect -274 573496 56 573503 +rect -400 573440 56 573496 +rect -274 573433 56 573440 +rect -181 572852 -117 572970 +rect -400 572796 56 572852 +rect -277 572208 -213 572264 +rect -400 572152 56 572208 +rect -277 572092 -213 572152 +rect -274 571656 56 571663 +rect -400 571600 56 571656 +rect -274 571593 56 571600 +rect -274 571012 56 571019 +rect -400 570956 56 571012 +rect -274 570949 56 570956 +rect -274 570368 56 570375 +rect -400 570312 56 570368 +rect -274 570305 56 570312 +rect -400 569760 56 569816 +rect 633270 566510 633726 566566 +rect 633270 566014 633600 566021 +rect 633270 565958 633726 566014 +rect 633270 565951 633600 565958 +rect 633270 565370 633600 565377 +rect 633270 565314 633726 565370 +rect 633270 565307 633600 565314 +rect 633270 564726 633600 564733 +rect 633270 564670 633726 564726 +rect 633270 564663 633600 564670 +rect 633539 564174 633603 564234 +rect 633270 564118 633726 564174 +rect 633539 564062 633603 564118 +rect 633270 563474 633726 563530 +rect 633443 563356 633507 563474 +rect 633270 562886 633600 562893 +rect 633270 562830 633726 562886 +rect 633270 562823 633600 562830 +rect 633270 562334 633600 562341 +rect 633270 562278 633726 562334 +rect 633270 562271 633600 562278 +rect 633270 561690 633600 561697 +rect 633270 561634 633726 561690 +rect 633270 561627 633600 561634 +rect 633270 561046 633600 561053 +rect 633270 560990 633726 561046 +rect 633270 560983 633600 560990 +rect 633270 560438 633726 560494 +rect 633347 560321 633411 560438 +rect 633539 559850 633603 559913 +rect 633270 559794 633726 559850 +rect 633539 559729 633603 559794 +rect 633270 559150 633726 559206 +rect 633443 559032 633507 559150 +rect 633270 558562 633600 558569 +rect 633270 558506 633726 558562 +rect 633270 558499 633600 558506 +rect 633270 558010 633600 558017 +rect 633270 557954 633726 558010 +rect 633270 557947 633600 557954 +rect 633270 557366 633600 557373 +rect 633270 557310 633726 557366 +rect 633270 557303 633600 557310 +rect 633270 556722 633600 556729 +rect 633270 556666 633726 556722 +rect 633270 556659 633600 556666 +rect 633270 556114 633726 556170 +rect 633270 555526 633600 555533 +rect 633270 555470 633726 555526 +rect 633270 555463 633600 555470 +rect 633270 554826 633726 554882 +rect 633270 554330 633600 554337 +rect 633270 554274 633726 554330 +rect 633270 554267 633600 554274 +rect 633270 553686 633600 553693 +rect 633270 553630 633726 553686 +rect 633270 553623 633600 553630 +rect 633270 552986 633726 553042 +rect 633270 552434 633726 552490 +rect 633270 551846 633600 551853 +rect 633270 551790 633726 551846 +rect 633270 551783 633600 551790 +rect 633443 551202 633507 551319 +rect 633270 551146 633726 551202 +rect -400 541924 56 541980 +rect -181 541807 -117 541924 +rect -274 541336 56 541343 +rect -400 541280 56 541336 +rect -274 541273 56 541280 +rect -400 540636 56 540692 +rect -400 540084 56 540140 +rect -274 539496 56 539503 +rect -400 539440 56 539496 +rect -274 539433 56 539440 +rect -274 538852 56 538859 +rect -400 538796 56 538852 +rect -274 538789 56 538796 +rect -400 538244 56 538300 +rect -274 537656 56 537663 +rect -400 537600 56 537656 +rect -274 537593 56 537600 +rect -400 536956 56 537012 +rect -274 536460 56 536467 +rect -400 536404 56 536460 +rect -274 536397 56 536404 +rect -274 535816 56 535823 +rect -400 535760 56 535816 +rect -274 535753 56 535760 +rect -274 535172 56 535179 +rect -400 535116 56 535172 +rect -274 535109 56 535116 +rect -274 534620 56 534627 +rect -400 534564 56 534620 +rect -274 534557 56 534564 +rect -181 533976 -117 534094 +rect -400 533920 56 533976 +rect -277 533332 -213 533397 +rect -400 533276 56 533332 +rect -277 533213 -213 533276 +rect -85 532688 -21 532805 +rect -400 532632 56 532688 +rect -274 532136 56 532143 +rect -400 532080 56 532136 +rect -274 532073 56 532080 +rect -274 531492 56 531499 +rect -400 531436 56 531492 +rect -274 531429 56 531436 +rect -274 530848 56 530855 +rect -400 530792 56 530848 +rect -274 530785 56 530792 +rect -274 530296 56 530303 +rect -400 530240 56 530296 +rect -274 530233 56 530240 +rect -181 529652 -117 529770 +rect -400 529596 56 529652 +rect -277 529008 -213 529064 +rect -400 528952 56 529008 +rect -277 528892 -213 528952 +rect -274 528456 56 528463 +rect -400 528400 56 528456 +rect -274 528393 56 528400 +rect -274 527812 56 527819 +rect -400 527756 56 527812 +rect -274 527749 56 527756 +rect -274 527168 56 527175 +rect -400 527112 56 527168 +rect -274 527105 56 527112 +rect -400 526560 56 526616 +rect 633270 521310 633726 521366 +rect 633270 520814 633600 520821 +rect 633270 520758 633726 520814 +rect 633270 520751 633600 520758 +rect 633270 520170 633600 520177 +rect 633270 520114 633726 520170 +rect 633270 520107 633600 520114 +rect 633270 519526 633600 519533 +rect 633270 519470 633726 519526 +rect 633270 519463 633600 519470 +rect 633539 518974 633603 519034 +rect 633270 518918 633726 518974 +rect 633539 518862 633603 518918 +rect 633270 518274 633726 518330 +rect 633443 518156 633507 518274 +rect 633270 517686 633600 517693 +rect 633270 517630 633726 517686 +rect 633270 517623 633600 517630 +rect 633270 517134 633600 517141 +rect 633270 517078 633726 517134 +rect 633270 517071 633600 517078 +rect 633270 516490 633600 516497 +rect 633270 516434 633726 516490 +rect 633270 516427 633600 516434 +rect 633270 515846 633600 515853 +rect 633270 515790 633726 515846 +rect 633270 515783 633600 515790 +rect 633270 515238 633726 515294 +rect 633347 515121 633411 515238 +rect 633539 514650 633603 514713 +rect 633270 514594 633726 514650 +rect 633539 514529 633603 514594 +rect 633270 513950 633726 514006 +rect 633443 513832 633507 513950 +rect 633270 513362 633600 513369 +rect 633270 513306 633726 513362 +rect 633270 513299 633600 513306 +rect 633270 512810 633600 512817 +rect 633270 512754 633726 512810 +rect 633270 512747 633600 512754 +rect 633270 512166 633600 512173 +rect 633270 512110 633726 512166 +rect 633270 512103 633600 512110 +rect 633270 511522 633600 511529 +rect 633270 511466 633726 511522 +rect 633270 511459 633600 511466 +rect 633270 510914 633726 510970 +rect 633270 510326 633600 510333 +rect 633270 510270 633726 510326 +rect 633270 510263 633600 510270 +rect 633270 509626 633726 509682 +rect 633270 509130 633600 509137 +rect 633270 509074 633726 509130 +rect 633270 509067 633600 509074 +rect 633270 508486 633600 508493 +rect 633270 508430 633726 508486 +rect 633270 508423 633600 508430 +rect 633270 507786 633726 507842 +rect 633270 507234 633726 507290 +rect 633270 506646 633600 506653 +rect 633270 506590 633726 506646 +rect 633270 506583 633600 506590 +rect 633443 506002 633507 506119 +rect 633270 505946 633726 506002 +rect -400 498724 56 498780 +rect -181 498607 -117 498724 +rect -274 498136 56 498143 +rect -400 498080 56 498136 +rect -274 498073 56 498080 +rect -400 497436 56 497492 +rect -400 496884 56 496940 +rect -274 496296 56 496303 +rect -400 496240 56 496296 +rect -274 496233 56 496240 +rect -274 495652 56 495659 +rect -400 495596 56 495652 +rect -274 495589 56 495596 +rect -400 495044 56 495100 +rect -274 494456 56 494463 +rect -400 494400 56 494456 +rect -274 494393 56 494400 +rect -400 493756 56 493812 +rect -274 493260 56 493267 +rect -400 493204 56 493260 +rect -274 493197 56 493204 +rect -274 492616 56 492623 +rect -400 492560 56 492616 +rect -274 492553 56 492560 +rect -274 491972 56 491979 +rect -400 491916 56 491972 +rect -274 491909 56 491916 +rect -274 491420 56 491427 +rect -400 491364 56 491420 +rect -274 491357 56 491364 +rect -181 490776 -117 490894 +rect -400 490720 56 490776 +rect -277 490132 -213 490197 +rect -400 490076 56 490132 +rect -277 490013 -213 490076 +rect -85 489488 -21 489605 +rect -400 489432 56 489488 +rect -274 488936 56 488943 +rect -400 488880 56 488936 +rect -274 488873 56 488880 +rect -274 488292 56 488299 +rect -400 488236 56 488292 +rect -274 488229 56 488236 +rect -274 487648 56 487655 +rect -400 487592 56 487648 +rect -274 487585 56 487592 +rect -274 487096 56 487103 +rect -400 487040 56 487096 +rect -274 487033 56 487040 +rect -181 486452 -117 486570 +rect -400 486396 56 486452 +rect -277 485808 -213 485864 +rect -400 485752 56 485808 +rect -277 485692 -213 485752 +rect -274 485256 56 485263 +rect -400 485200 56 485256 +rect -274 485193 56 485200 +rect -274 484612 56 484619 +rect -400 484556 56 484612 +rect -274 484549 56 484556 +rect -274 483968 56 483975 +rect -400 483912 56 483968 +rect -274 483905 56 483912 +rect -400 483360 56 483416 +rect -400 371124 56 371180 +rect -181 371007 -117 371124 +rect -274 370536 56 370543 +rect -400 370480 56 370536 +rect -274 370473 56 370480 +rect -400 369836 56 369892 +rect -400 369284 56 369340 +rect -274 368696 56 368703 +rect -400 368640 56 368696 +rect -274 368633 56 368640 +rect -274 368052 56 368059 +rect -400 367996 56 368052 +rect -274 367989 56 367996 +rect -400 367444 56 367500 +rect -274 366856 56 366863 +rect -400 366800 56 366856 +rect -274 366793 56 366800 +rect -400 366156 56 366212 +rect -274 365660 56 365667 +rect -400 365604 56 365660 +rect -274 365597 56 365604 +rect -274 365016 56 365023 +rect -400 364960 56 365016 +rect -274 364953 56 364960 +rect -274 364372 56 364379 +rect -400 364316 56 364372 +rect -274 364309 56 364316 +rect -274 363820 56 363827 +rect -400 363764 56 363820 +rect -274 363757 56 363764 +rect -181 363176 -117 363294 +rect -400 363120 56 363176 +rect -277 362532 -213 362597 +rect -400 362476 56 362532 +rect -277 362413 -213 362476 +rect -85 361888 -21 362005 +rect -400 361832 56 361888 +rect -274 361336 56 361343 +rect -400 361280 56 361336 +rect -274 361273 56 361280 +rect -274 360692 56 360699 +rect -400 360636 56 360692 +rect -274 360629 56 360636 +rect -274 360048 56 360055 +rect -400 359992 56 360048 +rect -274 359985 56 359992 +rect -274 359496 56 359503 +rect -400 359440 56 359496 +rect -274 359433 56 359440 +rect -181 358852 -117 358970 +rect -400 358796 56 358852 +rect -277 358208 -213 358264 +rect -400 358152 56 358208 +rect -277 358092 -213 358152 +rect -274 357656 56 357663 +rect -400 357600 56 357656 +rect -274 357593 56 357600 +rect -274 357012 56 357019 +rect -400 356956 56 357012 +rect -274 356949 56 356956 +rect -274 356368 56 356375 +rect -400 356312 56 356368 +rect -274 356305 56 356312 +rect -400 355760 56 355816 +rect 633270 344110 633726 344166 +rect 633270 343614 633600 343621 +rect 633270 343558 633726 343614 +rect 633270 343551 633600 343558 +rect 633270 342970 633600 342977 +rect 633270 342914 633726 342970 +rect 633270 342907 633600 342914 +rect 633270 342326 633600 342333 +rect 633270 342270 633726 342326 +rect 633270 342263 633600 342270 +rect 633539 341774 633603 341834 +rect 633270 341718 633726 341774 +rect 633539 341662 633603 341718 +rect 633270 341074 633726 341130 +rect 633443 340956 633507 341074 +rect 633270 340486 633600 340493 +rect 633270 340430 633726 340486 +rect 633270 340423 633600 340430 +rect 633270 339934 633600 339941 +rect 633270 339878 633726 339934 +rect 633270 339871 633600 339878 +rect 633270 339290 633600 339297 +rect 633270 339234 633726 339290 +rect 633270 339227 633600 339234 +rect 633270 338646 633600 338653 +rect 633270 338590 633726 338646 +rect 633270 338583 633600 338590 +rect 633270 338038 633726 338094 +rect 633347 337921 633411 338038 +rect 633539 337450 633603 337513 +rect 633270 337394 633726 337450 +rect 633539 337329 633603 337394 +rect 633270 336750 633726 336806 +rect 633443 336632 633507 336750 +rect 633270 336162 633600 336169 +rect 633270 336106 633726 336162 +rect 633270 336099 633600 336106 +rect 633270 335610 633600 335617 +rect 633270 335554 633726 335610 +rect 633270 335547 633600 335554 +rect 633270 334966 633600 334973 +rect 633270 334910 633726 334966 +rect 633270 334903 633600 334910 +rect 633270 334322 633600 334329 +rect 633270 334266 633726 334322 +rect 633270 334259 633600 334266 +rect 633270 333714 633726 333770 +rect 633270 333126 633600 333133 +rect 633270 333070 633726 333126 +rect 633270 333063 633600 333070 +rect 633270 332426 633726 332482 +rect 633270 331874 633726 331930 +rect 633270 331286 633600 331293 +rect 633270 331230 633726 331286 +rect 633270 331223 633600 331230 +rect 633270 330586 633726 330642 +rect 633270 330034 633726 330090 +rect 633270 329446 633600 329453 +rect 633270 329390 633726 329446 +rect 633270 329383 633600 329390 +rect 633443 328802 633507 328919 +rect 633270 328746 633726 328802 +rect -400 327924 56 327980 +rect -181 327807 -117 327924 +rect -274 327336 56 327343 +rect -400 327280 56 327336 +rect -274 327273 56 327280 +rect -400 326636 56 326692 +rect -400 326084 56 326140 +rect -274 325496 56 325503 +rect -400 325440 56 325496 +rect -274 325433 56 325440 +rect -274 324852 56 324859 +rect -400 324796 56 324852 +rect -274 324789 56 324796 +rect -400 324244 56 324300 +rect -274 323656 56 323663 +rect -400 323600 56 323656 +rect -274 323593 56 323600 +rect -400 322956 56 323012 +rect -274 322460 56 322467 +rect -400 322404 56 322460 +rect -274 322397 56 322404 +rect -274 321816 56 321823 +rect -400 321760 56 321816 +rect -274 321753 56 321760 +rect -274 321172 56 321179 +rect -400 321116 56 321172 +rect -274 321109 56 321116 +rect -274 320620 56 320627 +rect -400 320564 56 320620 +rect -274 320557 56 320564 +rect -181 319976 -117 320094 +rect -400 319920 56 319976 +rect -277 319332 -213 319397 +rect -400 319276 56 319332 +rect -277 319213 -213 319276 +rect -85 318688 -21 318805 +rect -400 318632 56 318688 +rect -274 318136 56 318143 +rect -400 318080 56 318136 +rect -274 318073 56 318080 +rect -274 317492 56 317499 +rect -400 317436 56 317492 +rect -274 317429 56 317436 +rect -274 316848 56 316855 +rect -400 316792 56 316848 +rect -274 316785 56 316792 +rect -274 316296 56 316303 +rect -400 316240 56 316296 +rect -274 316233 56 316240 +rect -181 315652 -117 315770 +rect -400 315596 56 315652 +rect -277 315008 -213 315064 +rect -400 314952 56 315008 +rect -277 314892 -213 314952 +rect -274 314456 56 314463 +rect -400 314400 56 314456 +rect -274 314393 56 314400 +rect -274 313812 56 313819 +rect -400 313756 56 313812 +rect -274 313749 56 313756 +rect -274 313168 56 313175 +rect -400 313112 56 313168 +rect -274 313105 56 313112 +rect -400 312560 56 312616 +rect 633270 298910 633726 298966 +rect 633270 298414 633600 298421 +rect 633270 298358 633726 298414 +rect 633270 298351 633600 298358 +rect 633270 297770 633600 297777 +rect 633270 297714 633726 297770 +rect 633270 297707 633600 297714 +rect 633270 297126 633600 297133 +rect 633270 297070 633726 297126 +rect 633270 297063 633600 297070 +rect 633539 296574 633603 296634 +rect 633270 296518 633726 296574 +rect 633539 296462 633603 296518 +rect 633270 295874 633726 295930 +rect 633443 295756 633507 295874 +rect 633270 295286 633600 295293 +rect 633270 295230 633726 295286 +rect 633270 295223 633600 295230 +rect 633270 294734 633600 294741 +rect 633270 294678 633726 294734 +rect 633270 294671 633600 294678 +rect 633270 294090 633600 294097 +rect 633270 294034 633726 294090 +rect 633270 294027 633600 294034 +rect 633270 293446 633600 293453 +rect 633270 293390 633726 293446 +rect 633270 293383 633600 293390 +rect 633270 292838 633726 292894 +rect 633347 292721 633411 292838 +rect 633539 292250 633603 292313 +rect 633270 292194 633726 292250 +rect 633539 292129 633603 292194 +rect 633270 291550 633726 291606 +rect 633443 291432 633507 291550 +rect 633270 290962 633600 290969 +rect 633270 290906 633726 290962 +rect 633270 290899 633600 290906 +rect 633270 290410 633600 290417 +rect 633270 290354 633726 290410 +rect 633270 290347 633600 290354 +rect 633270 289766 633600 289773 +rect 633270 289710 633726 289766 +rect 633270 289703 633600 289710 +rect 633270 289122 633600 289129 +rect 633270 289066 633726 289122 +rect 633270 289059 633600 289066 +rect 633270 288514 633726 288570 +rect 633270 287926 633600 287933 +rect 633270 287870 633726 287926 +rect 633270 287863 633600 287870 +rect 633270 287226 633726 287282 +rect 633270 286674 633726 286730 +rect 633270 286086 633600 286093 +rect 633270 286030 633726 286086 +rect 633270 286023 633600 286030 +rect 633270 285386 633726 285442 +rect 633270 284834 633726 284890 +rect -400 284724 56 284780 +rect -181 284607 -117 284724 +rect 633270 284246 633600 284253 +rect 633270 284190 633726 284246 +rect 633270 284183 633600 284190 +rect -274 284136 56 284143 +rect -400 284080 56 284136 +rect -274 284073 56 284080 +rect 633443 283602 633507 283719 +rect 633270 283546 633726 283602 +rect -400 283436 56 283492 +rect -400 282884 56 282940 +rect -274 282296 56 282303 +rect -400 282240 56 282296 +rect -274 282233 56 282240 +rect -274 281652 56 281659 +rect -400 281596 56 281652 +rect -274 281589 56 281596 +rect -400 281044 56 281100 +rect -274 280456 56 280463 +rect -400 280400 56 280456 +rect -274 280393 56 280400 +rect -400 279756 56 279812 +rect -274 279260 56 279267 +rect -400 279204 56 279260 +rect -274 279197 56 279204 +rect -274 278616 56 278623 +rect -400 278560 56 278616 +rect -274 278553 56 278560 +rect -274 277972 56 277979 +rect -400 277916 56 277972 +rect -274 277909 56 277916 +rect -274 277420 56 277427 +rect -400 277364 56 277420 +rect -274 277357 56 277364 +rect -181 276776 -117 276894 +rect -400 276720 56 276776 +rect -277 276132 -213 276197 +rect -400 276076 56 276132 +rect -277 276013 -213 276076 +rect -85 275488 -21 275605 +rect -400 275432 56 275488 +rect -274 274936 56 274943 +rect -400 274880 56 274936 +rect -274 274873 56 274880 +rect -274 274292 56 274299 +rect -400 274236 56 274292 +rect -274 274229 56 274236 +rect -274 273648 56 273655 +rect -400 273592 56 273648 +rect -274 273585 56 273592 +rect -274 273096 56 273103 +rect -400 273040 56 273096 +rect -274 273033 56 273040 +rect -181 272452 -117 272570 +rect -400 272396 56 272452 +rect -277 271808 -213 271864 +rect -400 271752 56 271808 +rect -277 271692 -213 271752 +rect -274 271256 56 271263 +rect -400 271200 56 271256 +rect -274 271193 56 271200 +rect -274 270612 56 270619 +rect -400 270556 56 270612 +rect -274 270549 56 270556 +rect -274 269968 56 269975 +rect -400 269912 56 269968 +rect -274 269905 56 269912 +rect -400 269360 56 269416 +rect 633270 253910 633726 253966 +rect 633270 253414 633600 253421 +rect 633270 253358 633726 253414 +rect 633270 253351 633600 253358 +rect 633270 252770 633600 252777 +rect 633270 252714 633726 252770 +rect 633270 252707 633600 252714 +rect 633270 252126 633600 252133 +rect 633270 252070 633726 252126 +rect 633270 252063 633600 252070 +rect 633539 251574 633603 251634 +rect 633270 251518 633726 251574 +rect 633539 251462 633603 251518 +rect 633270 250874 633726 250930 +rect 633443 250756 633507 250874 +rect 633270 250286 633600 250293 +rect 633270 250230 633726 250286 +rect 633270 250223 633600 250230 +rect 633270 249734 633600 249741 +rect 633270 249678 633726 249734 +rect 633270 249671 633600 249678 +rect 633270 249090 633600 249097 +rect 633270 249034 633726 249090 +rect 633270 249027 633600 249034 +rect 633270 248446 633600 248453 +rect 633270 248390 633726 248446 +rect 633270 248383 633600 248390 +rect 633270 247838 633726 247894 +rect 633347 247721 633411 247838 +rect 633539 247250 633603 247313 +rect 633270 247194 633726 247250 +rect 633539 247129 633603 247194 +rect 633270 246550 633726 246606 +rect 633443 246432 633507 246550 +rect 633270 245962 633600 245969 +rect 633270 245906 633726 245962 +rect 633270 245899 633600 245906 +rect 633270 245410 633600 245417 +rect 633270 245354 633726 245410 +rect 633270 245347 633600 245354 +rect 633270 244766 633600 244773 +rect 633270 244710 633726 244766 +rect 633270 244703 633600 244710 +rect 633270 244122 633600 244129 +rect 633270 244066 633726 244122 +rect 633270 244059 633600 244066 +rect 633270 243514 633726 243570 +rect 633270 242926 633600 242933 +rect 633270 242870 633726 242926 +rect 633270 242863 633600 242870 +rect 633270 242226 633726 242282 +rect 633270 241674 633726 241730 +rect -400 241524 56 241580 +rect -181 241407 -117 241524 +rect 633270 241086 633600 241093 +rect 633270 241030 633726 241086 +rect 633270 241023 633600 241030 +rect -274 240936 56 240943 +rect -400 240880 56 240936 +rect -274 240873 56 240880 +rect 633270 240386 633726 240442 +rect -400 240236 56 240292 +rect 633270 239834 633726 239890 +rect -400 239684 56 239740 +rect 633270 239246 633600 239253 +rect 633270 239190 633726 239246 +rect 633270 239183 633600 239190 +rect -274 239096 56 239103 +rect -400 239040 56 239096 +rect -274 239033 56 239040 +rect 633443 238602 633507 238719 +rect 633270 238546 633726 238602 +rect -274 238452 56 238459 +rect -400 238396 56 238452 +rect -274 238389 56 238396 +rect -400 237844 56 237900 +rect -274 237256 56 237263 +rect -400 237200 56 237256 +rect -274 237193 56 237200 +rect -400 236556 56 236612 +rect -274 236060 56 236067 +rect -400 236004 56 236060 +rect -274 235997 56 236004 +rect -274 235416 56 235423 +rect -400 235360 56 235416 +rect -274 235353 56 235360 +rect -274 234772 56 234779 +rect -400 234716 56 234772 +rect -274 234709 56 234716 +rect -274 234220 56 234227 +rect -400 234164 56 234220 +rect -274 234157 56 234164 +rect -181 233576 -117 233694 +rect -400 233520 56 233576 +rect -277 232932 -213 232997 +rect -400 232876 56 232932 +rect -277 232813 -213 232876 +rect -85 232288 -21 232405 +rect -400 232232 56 232288 +rect -274 231736 56 231743 +rect -400 231680 56 231736 +rect -274 231673 56 231680 +rect -274 231092 56 231099 +rect -400 231036 56 231092 +rect -274 231029 56 231036 +rect -274 230448 56 230455 +rect -400 230392 56 230448 +rect -274 230385 56 230392 +rect -274 229896 56 229903 +rect -400 229840 56 229896 +rect -274 229833 56 229840 +rect -181 229252 -117 229370 +rect -400 229196 56 229252 +rect -277 228608 -213 228664 +rect -400 228552 56 228608 +rect -277 228492 -213 228552 +rect -274 228056 56 228063 +rect -400 228000 56 228056 +rect -274 227993 56 228000 +rect -274 227412 56 227419 +rect -400 227356 56 227412 +rect -274 227349 56 227356 +rect -274 226768 56 226775 +rect -400 226712 56 226768 +rect -274 226705 56 226712 +rect -400 226160 56 226216 +rect 633270 208910 633726 208966 +rect 633270 208414 633600 208421 +rect 633270 208358 633726 208414 +rect 633270 208351 633600 208358 +rect 633270 207770 633600 207777 +rect 633270 207714 633726 207770 +rect 633270 207707 633600 207714 +rect 633270 207126 633600 207133 +rect 633270 207070 633726 207126 +rect 633270 207063 633600 207070 +rect 633539 206574 633603 206634 +rect 633270 206518 633726 206574 +rect 633539 206462 633603 206518 +rect 633270 205874 633726 205930 +rect 633443 205756 633507 205874 +rect 633270 205286 633600 205293 +rect 633270 205230 633726 205286 +rect 633270 205223 633600 205230 +rect 633270 204734 633600 204741 +rect 633270 204678 633726 204734 +rect 633270 204671 633600 204678 +rect 633270 204090 633600 204097 +rect 633270 204034 633726 204090 +rect 633270 204027 633600 204034 +rect 633270 203446 633600 203453 +rect 633270 203390 633726 203446 +rect 633270 203383 633600 203390 +rect 633270 202838 633726 202894 +rect 633347 202721 633411 202838 +rect 633539 202250 633603 202313 +rect 633270 202194 633726 202250 +rect 633539 202129 633603 202194 +rect 633270 201550 633726 201606 +rect 633443 201432 633507 201550 +rect 633270 200962 633600 200969 +rect 633270 200906 633726 200962 +rect 633270 200899 633600 200906 +rect 633270 200410 633600 200417 +rect 633270 200354 633726 200410 +rect 633270 200347 633600 200354 +rect 633270 199766 633600 199773 +rect 633270 199710 633726 199766 +rect 633270 199703 633600 199710 +rect 633270 199122 633600 199129 +rect 633270 199066 633726 199122 +rect 633270 199059 633600 199066 +rect 633270 198514 633726 198570 +rect -400 198324 56 198380 +rect -181 198207 -117 198324 +rect 633270 197926 633600 197933 +rect 633270 197870 633726 197926 +rect 633270 197863 633600 197870 +rect -274 197736 56 197743 +rect -400 197680 56 197736 +rect -274 197673 56 197680 +rect 633270 197226 633726 197282 +rect -400 197036 56 197092 +rect 633270 196674 633726 196730 +rect -400 196484 56 196540 +rect 633270 196086 633600 196093 +rect 633270 196030 633726 196086 +rect 633270 196023 633600 196030 +rect -274 195896 56 195903 +rect -400 195840 56 195896 +rect -274 195833 56 195840 +rect 633270 195386 633726 195442 +rect -400 195196 56 195252 +rect 633270 194834 633726 194890 +rect -400 194644 56 194700 +rect 633270 194246 633600 194253 +rect 633270 194190 633726 194246 +rect 633270 194183 633600 194190 +rect -274 194056 56 194063 +rect -400 194000 56 194056 +rect -274 193993 56 194000 +rect 633443 193602 633507 193719 +rect 633270 193546 633726 193602 +rect -400 193356 56 193412 +rect -274 192860 56 192867 +rect -400 192804 56 192860 +rect -274 192797 56 192804 +rect -274 192216 56 192223 +rect -400 192160 56 192216 +rect -274 192153 56 192160 +rect -274 191572 56 191579 +rect -400 191516 56 191572 +rect -274 191509 56 191516 +rect -274 191020 56 191027 +rect -400 190964 56 191020 +rect -274 190957 56 190964 +rect -181 190376 -117 190494 +rect -400 190320 56 190376 +rect -277 189732 -213 189797 +rect -400 189676 56 189732 +rect -277 189613 -213 189676 +rect -85 189088 -21 189205 +rect -400 189032 56 189088 +rect -274 188536 56 188543 +rect -400 188480 56 188536 +rect -274 188473 56 188480 +rect -274 187892 56 187899 +rect -400 187836 56 187892 +rect -274 187829 56 187836 +rect -274 187248 56 187255 +rect -400 187192 56 187248 +rect -274 187185 56 187192 +rect -274 186696 56 186703 +rect -400 186640 56 186696 +rect -274 186633 56 186640 +rect -181 186052 -117 186170 +rect -400 185996 56 186052 +rect -277 185408 -213 185464 +rect -400 185352 56 185408 +rect -277 185292 -213 185352 +rect -274 184856 56 184863 +rect -400 184800 56 184856 +rect -274 184793 56 184800 +rect -274 184212 56 184219 +rect -400 184156 56 184212 +rect -274 184149 56 184156 +rect -274 183568 56 183575 +rect -400 183512 56 183568 +rect -274 183505 56 183512 +rect -400 182960 56 183016 +rect 633270 163710 633726 163766 +rect 633270 163214 633600 163221 +rect 633270 163158 633726 163214 +rect 633270 163151 633600 163158 +rect 633270 162570 633600 162577 +rect 633270 162514 633726 162570 +rect 633270 162507 633600 162514 +rect 633270 161926 633600 161933 +rect 633270 161870 633726 161926 +rect 633270 161863 633600 161870 +rect 633539 161374 633603 161434 +rect 633270 161318 633726 161374 +rect 633539 161262 633603 161318 +rect 633270 160674 633726 160730 +rect 633443 160556 633507 160674 +rect 633270 160086 633600 160093 +rect 633270 160030 633726 160086 +rect 633270 160023 633600 160030 +rect 633270 159534 633600 159541 +rect 633270 159478 633726 159534 +rect 633270 159471 633600 159478 +rect 633270 158890 633600 158897 +rect 633270 158834 633726 158890 +rect 633270 158827 633600 158834 +rect 633270 158246 633600 158253 +rect 633270 158190 633726 158246 +rect 633270 158183 633600 158190 +rect 633270 157638 633726 157694 +rect 633347 157521 633411 157638 +rect 633539 157050 633603 157113 +rect 633270 156994 633726 157050 +rect 633539 156929 633603 156994 +rect 633270 156350 633726 156406 +rect 633443 156232 633507 156350 +rect 633270 155762 633600 155769 +rect 633270 155706 633726 155762 +rect 633270 155699 633600 155706 +rect 633270 155210 633600 155217 +rect -400 155124 56 155180 +rect 633270 155154 633726 155210 +rect 633270 155147 633600 155154 +rect -181 155007 -117 155124 +rect 633270 154566 633600 154573 +rect -274 154536 56 154543 +rect -400 154480 56 154536 +rect 633270 154510 633726 154566 +rect 633270 154503 633600 154510 +rect -274 154473 56 154480 +rect 633270 153922 633600 153929 +rect -400 153836 56 153892 +rect 633270 153866 633726 153922 +rect 633270 153859 633600 153866 +rect -400 153284 56 153340 +rect 633270 153314 633726 153370 +rect 633270 152726 633600 152733 +rect -274 152696 56 152703 +rect -400 152640 56 152696 +rect 633270 152670 633726 152726 +rect 633270 152663 633600 152670 +rect -274 152633 56 152640 +rect -400 151996 56 152052 +rect 633270 152026 633726 152082 +rect -400 151444 56 151500 +rect 633270 151474 633726 151530 +rect 633270 150886 633600 150893 +rect -274 150856 56 150863 +rect -400 150800 56 150856 +rect 633270 150830 633726 150886 +rect 633270 150823 633600 150830 +rect -274 150793 56 150800 +rect -400 150156 56 150212 +rect 633270 150186 633726 150242 +rect -274 149660 56 149667 +rect -400 149604 56 149660 +rect 633270 149634 633726 149690 +rect -274 149597 56 149604 +rect 633270 149046 633600 149053 +rect -274 149016 56 149023 +rect -400 148960 56 149016 +rect 633270 148990 633726 149046 +rect 633270 148983 633600 148990 +rect -274 148953 56 148960 +rect 633443 148402 633507 148519 +rect -274 148372 56 148379 +rect -400 148316 56 148372 +rect 633270 148346 633726 148402 +rect -274 148309 56 148316 +rect -274 147820 56 147827 +rect -400 147764 56 147820 +rect -274 147757 56 147764 +rect -181 147176 -117 147294 +rect -400 147120 56 147176 +rect -277 146532 -213 146597 +rect -400 146476 56 146532 +rect -277 146413 -213 146476 +rect -85 145888 -21 146005 +rect -400 145832 56 145888 +rect -274 145336 56 145343 +rect -400 145280 56 145336 +rect -274 145273 56 145280 +rect -274 144692 56 144699 +rect -400 144636 56 144692 +rect -274 144629 56 144636 +rect -274 144048 56 144055 +rect -400 143992 56 144048 +rect -274 143985 56 143992 +rect -274 143496 56 143503 +rect -400 143440 56 143496 +rect -274 143433 56 143440 +rect -181 142852 -117 142970 +rect -400 142796 56 142852 +rect -277 142208 -213 142264 +rect -400 142152 56 142208 +rect -277 142092 -213 142152 +rect -274 141656 56 141663 +rect -400 141600 56 141656 +rect -274 141593 56 141600 +rect -274 141012 56 141019 +rect -400 140956 56 141012 +rect -274 140949 56 140956 +rect -274 140368 56 140375 +rect -400 140312 56 140368 +rect -274 140305 56 140312 +rect -400 139760 56 139816 +rect 633270 118710 633726 118766 +rect 633270 118214 633600 118221 +rect 633270 118158 633726 118214 +rect 633270 118151 633600 118158 +rect 633270 117570 633600 117577 +rect 633270 117514 633726 117570 +rect 633270 117507 633600 117514 +rect 633270 116926 633600 116933 +rect 633270 116870 633726 116926 +rect 633270 116863 633600 116870 +rect 633539 116374 633603 116434 +rect 633270 116318 633726 116374 +rect 633539 116262 633603 116318 +rect 633270 115674 633726 115730 +rect 633443 115556 633507 115674 +rect 633270 115086 633600 115093 +rect 633270 115030 633726 115086 +rect 633270 115023 633600 115030 +rect 633270 114534 633600 114541 +rect 633270 114478 633726 114534 +rect 633270 114471 633600 114478 +rect 633270 113890 633600 113897 +rect 633270 113834 633726 113890 +rect 633270 113827 633600 113834 +rect 633270 113246 633600 113253 +rect 633270 113190 633726 113246 +rect 633270 113183 633600 113190 +rect 633270 112638 633726 112694 +rect 633347 112521 633411 112638 +rect 633539 112050 633603 112113 +rect 633270 111994 633726 112050 +rect 633539 111929 633603 111994 +rect 633270 111350 633726 111406 +rect 633443 111232 633507 111350 +rect 633270 110762 633600 110769 +rect 633270 110706 633726 110762 +rect 633270 110699 633600 110706 +rect 633270 110210 633600 110217 +rect 633270 110154 633726 110210 +rect 633270 110147 633600 110154 +rect 633270 109566 633600 109573 +rect 633270 109510 633726 109566 +rect 633270 109503 633600 109510 +rect 633270 108922 633600 108929 +rect 633270 108866 633726 108922 +rect 633270 108859 633600 108866 +rect 633270 108314 633726 108370 +rect 633270 107726 633600 107733 +rect 633270 107670 633726 107726 +rect 633270 107663 633600 107670 +rect 633270 107026 633726 107082 +rect 633270 106474 633726 106530 +rect 633270 105886 633600 105893 +rect 633270 105830 633726 105886 +rect 633270 105823 633600 105830 +rect 633270 105242 633600 105249 +rect 633270 105186 633726 105242 +rect 633270 105179 633600 105186 +rect 633270 104634 633726 104690 +rect 633270 104046 633600 104053 +rect 633270 103990 633726 104046 +rect 633270 103983 633600 103990 +rect 633443 103402 633507 103519 +rect 633270 103346 633726 103402 +rect 633270 73510 633726 73566 +rect 633270 73014 633600 73021 +rect 633270 72958 633726 73014 +rect 633270 72951 633600 72958 +rect 633270 72370 633600 72377 +rect 633270 72314 633726 72370 +rect 633270 72307 633600 72314 +rect 633270 71726 633600 71733 +rect 633270 71670 633726 71726 +rect 633270 71663 633600 71670 +rect 633539 71174 633603 71234 +rect 633270 71118 633726 71174 +rect 633539 71062 633603 71118 +rect 633270 70474 633726 70530 +rect 633443 70356 633507 70474 +rect 633270 69886 633600 69893 +rect 633270 69830 633726 69886 +rect 633270 69823 633600 69830 +rect 633270 69334 633600 69341 +rect 633270 69278 633726 69334 +rect 633270 69271 633600 69278 +rect 633270 68690 633600 68697 +rect 633270 68634 633726 68690 +rect 633270 68627 633600 68634 +rect 633270 68046 633600 68053 +rect 633270 67990 633726 68046 +rect 633270 67983 633600 67990 +rect 633270 67438 633726 67494 +rect 633347 67321 633411 67438 +rect 633539 66850 633603 66913 +rect 633270 66794 633726 66850 +rect 633539 66729 633603 66794 +rect 633270 66150 633726 66206 +rect 633443 66032 633507 66150 +rect 633270 65562 633600 65569 +rect 633270 65506 633726 65562 +rect 633270 65499 633600 65506 +rect 633270 65010 633600 65017 +rect 633270 64954 633726 65010 +rect 633270 64947 633600 64954 +rect 633270 64366 633600 64373 +rect 633270 64310 633726 64366 +rect 633270 64303 633600 64310 +rect 633270 63722 633600 63729 +rect 633270 63666 633726 63722 +rect 633270 63659 633600 63666 +rect 633270 63114 633726 63170 +rect 633270 62526 633600 62533 +rect 633270 62470 633726 62526 +rect 633270 62463 633600 62470 +rect 633270 61826 633726 61882 +rect 633270 61274 633726 61330 +rect 633270 60686 633600 60693 +rect 633270 60630 633726 60686 +rect 633270 60623 633600 60630 +rect 633270 59986 633726 60042 +rect 633270 59434 633726 59490 +rect 633270 58846 633600 58853 +rect 633270 58790 633726 58846 +rect 633270 58783 633600 58790 +rect 633443 58202 633507 58319 +rect 633270 58146 633726 58202 +rect -400 53602 -292 53658 +rect -400 53378 -292 53434 +rect -400 53154 -292 53210 +rect 99571 -90 99637 56 +rect 99573 -400 99634 -90 +rect 110164 -400 110220 56 +rect 144546 -117 144602 56 +rect 144546 -181 144719 -117 +rect 144546 -400 144602 -181 +rect 145190 -424 145246 56 +rect 145834 -400 145890 56 +rect 146386 -400 146442 56 +rect 147030 -424 147086 56 +rect 147674 -424 147730 56 +rect 148226 -400 148282 56 +rect 148870 -424 148926 56 +rect 149514 -424 149570 56 +rect 150066 -274 150123 56 +rect 150066 -424 150122 -274 +rect 150710 -424 150766 56 +rect 151354 -424 151410 56 +rect 151906 -424 151962 56 +rect 152550 -117 152606 56 +rect 152432 -181 152606 -117 +rect 152550 -400 152606 -181 +rect 153194 -213 153250 56 +rect 153838 -21 153894 56 +rect 153721 -85 153894 -21 +rect 153129 -277 153313 -213 +rect 153194 -400 153250 -277 +rect 153838 -400 153894 -85 +rect 154390 -424 154446 56 +rect 155034 -424 155090 56 +rect 155678 -424 155734 56 +rect 156230 -424 156286 56 +rect 156874 -117 156930 56 +rect 156756 -181 156930 -117 +rect 156874 -400 156930 -181 +rect 157518 -213 157574 56 +rect 157462 -277 157634 -213 +rect 157518 -400 157574 -277 +rect 158070 -424 158126 56 +rect 158714 -424 158770 56 +rect 159358 -424 159414 56 +rect 159910 -424 159966 56 +rect 160580 -400 160632 56 +rect 163791 -400 163843 56 +rect 253146 -117 253202 56 +rect 253146 -181 253319 -117 +rect 253146 -400 253202 -181 +rect 253790 -424 253846 56 +rect 254434 -400 254490 56 +rect 254986 -400 255042 56 +rect 255630 -424 255686 56 +rect 256274 -424 256330 56 +rect 256826 -400 256882 56 +rect 257470 -424 257526 56 +rect 258114 -424 258170 56 +rect 258666 -424 258722 56 +rect 259310 -424 259366 56 +rect 259954 -424 260010 56 +rect 260506 -424 260562 56 +rect 261150 -117 261206 56 +rect 261032 -181 261206 -117 +rect 261150 -400 261206 -181 +rect 261794 -213 261850 56 +rect 262438 -21 262494 56 +rect 262321 -85 262494 -21 +rect 261729 -277 261913 -213 +rect 261794 -400 261850 -277 +rect 262438 -400 262494 -85 +rect 262990 -424 263046 56 +rect 263634 -424 263690 56 +rect 264278 -424 264334 56 +rect 264830 -424 264886 56 +rect 265474 -117 265530 56 +rect 265356 -181 265530 -117 +rect 265474 -400 265530 -181 +rect 266118 -213 266174 56 +rect 266062 -277 266234 -213 +rect 266118 -400 266174 -277 +rect 266670 -424 266726 56 +rect 267314 -424 267370 56 +rect 267958 -424 268014 56 +rect 268510 -424 268566 56 +rect 268816 -363 268880 -311 +rect 268824 -400 268872 -363 +rect 269180 -400 269232 56 +rect 273360 -400 273412 56 +rect 307946 -117 308002 56 +rect 307946 -181 308119 -117 +rect 307946 -400 308002 -181 +rect 308590 -424 308646 56 +rect 309234 -400 309290 56 +rect 309786 -400 309842 56 +rect 310430 -424 310486 56 +rect 311074 -424 311130 56 +rect 311626 -400 311682 56 +rect 312270 -424 312326 56 +rect 312914 -424 312970 56 +rect 313466 -424 313522 56 +rect 314110 -424 314166 56 +rect 314754 -424 314810 56 +rect 315306 -424 315362 56 +rect 315950 -117 316006 56 +rect 315832 -181 316006 -117 +rect 315950 -400 316006 -181 +rect 316594 -213 316650 56 +rect 317238 -21 317294 56 +rect 317121 -85 317294 -21 +rect 316529 -277 316713 -213 +rect 316594 -400 316650 -277 +rect 317238 -400 317294 -85 +rect 317790 -424 317846 56 +rect 318434 -424 318490 56 +rect 319078 -424 319134 56 +rect 319630 -424 319686 56 +rect 320274 -117 320330 56 +rect 320156 -181 320330 -117 +rect 320274 -400 320330 -181 +rect 320918 -213 320974 56 +rect 320862 -277 321034 -213 +rect 320918 -400 320974 -277 +rect 321470 -424 321526 56 +rect 322114 -424 322170 56 +rect 322758 -424 322814 56 +rect 323310 -424 323366 56 +rect 323616 -363 323680 -311 +rect 323624 -400 323672 -363 +rect 323980 -400 324032 56 +rect 328165 -400 328217 34 +rect 362746 -117 362802 56 +rect 362746 -181 362919 -117 +rect 362746 -400 362802 -181 +rect 363390 -424 363446 56 +rect 364034 -400 364090 56 +rect 364586 -400 364642 56 +rect 365230 -424 365286 56 +rect 365874 -424 365930 56 +rect 366426 -400 366482 56 +rect 367070 -424 367126 56 +rect 367714 -424 367770 56 +rect 368266 -424 368322 56 +rect 368910 -424 368966 56 +rect 369554 -424 369610 56 +rect 370106 -424 370162 56 +rect 370750 -117 370806 56 +rect 370632 -181 370806 -117 +rect 370750 -400 370806 -181 +rect 371394 -213 371450 56 +rect 372038 -21 372094 56 +rect 371921 -85 372094 -21 +rect 371329 -277 371513 -213 +rect 371394 -400 371450 -277 +rect 372038 -400 372094 -85 +rect 372590 -424 372646 56 +rect 373234 -424 373290 56 +rect 373878 -424 373934 56 +rect 374430 -424 374486 56 +rect 375074 -117 375130 56 +rect 374956 -181 375130 -117 +rect 375074 -400 375130 -181 +rect 375718 -213 375774 56 +rect 375662 -277 375834 -213 +rect 375718 -400 375774 -277 +rect 376270 -424 376326 56 +rect 376914 -424 376970 56 +rect 377558 -424 377614 56 +rect 378110 -424 378166 56 +rect 378416 -363 378480 -311 +rect 378424 -400 378472 -363 +rect 378780 -400 378832 56 +rect 382978 -400 383030 56 +rect 417546 -117 417602 56 +rect 417546 -181 417719 -117 +rect 417546 -400 417602 -181 +rect 418190 -424 418246 56 +rect 418834 -400 418890 56 +rect 419386 -400 419442 56 +rect 420030 -424 420086 56 +rect 420674 -424 420730 56 +rect 421226 -400 421282 56 +rect 421870 -424 421926 56 +rect 422514 -424 422570 56 +rect 423066 -424 423122 56 +rect 423710 -424 423766 56 +rect 424354 -424 424410 56 +rect 424906 -424 424962 56 +rect 425550 -117 425606 56 +rect 425432 -181 425606 -117 +rect 425550 -400 425606 -181 +rect 426194 -213 426250 56 +rect 426838 -21 426894 56 +rect 426721 -85 426894 -21 +rect 426129 -277 426313 -213 +rect 426194 -400 426250 -277 +rect 426838 -400 426894 -85 +rect 427390 -424 427446 56 +rect 428034 -424 428090 56 +rect 428678 -424 428734 56 +rect 429230 -424 429286 56 +rect 429874 -117 429930 56 +rect 429756 -181 429930 -117 +rect 429874 -400 429930 -181 +rect 430518 -213 430574 56 +rect 430462 -277 430634 -213 +rect 430518 -400 430574 -277 +rect 431070 -424 431126 56 +rect 431714 -424 431770 56 +rect 432358 -424 432414 56 +rect 432910 -424 432966 56 +rect 433216 -363 433280 -311 +rect 433224 -400 433272 -363 +rect 433580 -400 433632 56 +rect 437778 -400 437830 56 +rect 472346 -117 472402 56 +rect 472346 -181 472519 -117 +rect 472346 -400 472402 -181 +rect 472990 -424 473046 56 +rect 473634 -400 473690 56 +rect 474186 -400 474242 56 +rect 474830 -424 474886 56 +rect 475474 -424 475530 56 +rect 476026 -400 476082 56 +rect 476670 -424 476726 56 +rect 477314 -424 477370 56 +rect 477866 -424 477922 56 +rect 478510 -424 478566 56 +rect 479154 -424 479210 56 +rect 479706 -424 479762 56 +rect 480350 -117 480406 56 +rect 480232 -181 480406 -117 +rect 480350 -400 480406 -181 +rect 480994 -213 481050 56 +rect 481638 -21 481694 56 +rect 481521 -85 481694 -21 +rect 480929 -277 481113 -213 +rect 480994 -400 481050 -277 +rect 481638 -400 481694 -85 +rect 482190 -424 482246 56 +rect 482834 -424 482890 56 +rect 483478 -424 483534 56 +rect 484030 -424 484086 56 +rect 484674 -117 484730 56 +rect 484556 -181 484730 -117 +rect 484674 -400 484730 -181 +rect 485318 -213 485374 56 +rect 485262 -277 485434 -213 +rect 485318 -400 485374 -277 +rect 485870 -424 485926 56 +rect 486514 -424 486570 56 +rect 487158 -424 487214 56 +rect 487710 -424 487766 56 +rect 488016 -363 488080 -311 +rect 488024 -400 488072 -363 +rect 488380 -400 488432 56 +rect 492635 -400 492687 56 +rect 605082 -260 605134 56 +rect 605306 -260 605358 56 +rect 605530 -260 605582 56 +rect 605754 -260 605806 56 +rect 605978 -260 606030 56 +rect 606202 -260 606254 56 +rect 606426 -260 606478 56 +rect 606650 -260 606702 56 +rect 606874 -260 606926 56 +rect 607098 -260 607150 56 +rect 607322 -260 607374 56 +rect 607546 -260 607598 56 +rect 607770 -260 607822 56 +rect 607994 -260 608046 56 +rect 608218 -260 608270 56 +rect 608442 -260 608494 56 +rect 608666 -260 608718 56 +rect 608890 -260 608942 56 +rect 609114 -260 609166 56 +rect 609338 -260 609390 56 +rect 609562 -260 609614 56 +rect 609786 -260 609838 56 +rect 610010 -260 610062 56 +rect 610234 -260 610286 56 +rect 610458 -260 610510 56 +rect 610682 -260 610734 56 +rect 610906 -260 610958 56 +rect 611130 -260 611182 56 +rect 611354 -260 611406 56 +rect 611578 -260 611630 56 +rect 611802 -260 611854 56 +rect 612026 -260 612078 56 +rect 605093 -400 605121 -260 +rect 605317 -400 605345 -260 +rect 605541 -400 605569 -260 +rect 605765 -400 605793 -260 +rect 605989 -400 606017 -260 +rect 606213 -400 606241 -260 +rect 606437 -400 606465 -260 +rect 606661 -400 606689 -260 +rect 606885 -400 606913 -260 +rect 607109 -400 607137 -260 +rect 607333 -400 607361 -260 +rect 607557 -400 607585 -260 +rect 607781 -400 607809 -260 +rect 608005 -400 608033 -260 +rect 608229 -400 608257 -260 +rect 608453 -400 608481 -260 +rect 608677 -400 608705 -260 +rect 608901 -400 608929 -260 +rect 609125 -400 609153 -260 +rect 609349 -400 609377 -260 +rect 609573 -400 609601 -260 +rect 609797 -400 609825 -260 +rect 610021 -400 610049 -260 +rect 610245 -400 610273 -260 +rect 610469 -400 610497 -260 +rect 610693 -400 610721 -260 +rect 610917 -400 610945 -260 +rect 611141 -400 611169 -260 +rect 611365 -400 611393 -260 +rect 611589 -400 611617 -260 +rect 611813 -400 611841 -260 +rect 612037 -400 612065 -260 +<< metal3 >> +rect 291362 953270 296142 953770 +rect 301341 953270 306121 953770 +rect 533562 953270 538342 953770 +rect 543541 953270 548321 953770 +rect 633270 929007 633726 929069 +rect -424 927073 56 927143 +rect 633270 927005 633726 927067 +rect -424 925233 56 925303 +rect 633270 925103 633750 925173 +rect -424 924589 56 924659 +rect 633270 924551 633750 924621 +rect 633270 923907 633750 923977 +rect -424 923393 56 923463 +rect 633270 923263 633750 923333 +rect -424 922749 57 922819 +rect -424 922197 56 922267 +rect -424 921553 56 921623 +rect 633270 921423 633750 921493 +rect -424 920909 56 920979 +rect 633270 920871 633750 920941 +rect -424 920357 56 920427 +rect 633270 920227 633750 920297 +rect 633270 919583 633750 919653 +rect -424 917873 56 917943 +rect -424 917229 56 917299 +rect 633270 917099 633750 917169 +rect -424 916585 56 916655 +rect 633270 916547 633750 916617 +rect -424 916033 56 916103 +rect 633270 915903 633750 915973 +rect 633270 915259 633750 915329 +rect 633269 914707 633750 914777 +rect -424 914193 56 914263 +rect 633270 914063 633750 914133 +rect -424 913549 56 913619 +rect -424 912905 56 912975 +rect 633270 912867 633750 912937 +rect -424 912353 56 912423 +rect 633270 912223 633750 912293 +rect 633270 910383 633750 910453 +rect -400 906644 56 906704 +rect -400 904644 56 904704 +rect -444 880014 56 884803 +rect -444 875053 56 879715 +rect 633270 875563 633770 880363 +rect -444 869963 56 874763 +rect 633270 870611 633770 875273 +rect 633270 865523 633770 870312 +rect -444 837741 56 842521 +rect 633270 839007 633726 839069 +rect 633270 837005 633726 837067 +rect 633270 835903 633750 835973 +rect 633270 835351 633750 835421 +rect 633270 834707 633750 834777 +rect 633270 834063 633750 834133 +rect -444 827762 56 832542 +rect 633270 832223 633750 832293 +rect 633270 831671 633750 831741 +rect 633270 831027 633750 831097 +rect 633270 830383 633750 830453 +rect 633270 827899 633750 827969 +rect 633270 827347 633750 827417 +rect 633270 826703 633750 826773 +rect 633270 826059 633750 826129 +rect 633269 825507 633750 825577 +rect 633270 824863 633750 824933 +rect 633270 823667 633750 823737 +rect 633270 823023 633750 823093 +rect 633270 821183 633750 821253 +rect -444 795541 56 800321 +rect -444 785562 56 790342 +rect 633270 786384 633770 791164 +rect 633270 776405 633770 781185 +rect -424 757273 56 757343 +rect -424 755433 56 755503 +rect -424 754789 56 754859 +rect -424 753593 56 753663 +rect -424 752949 57 753019 +rect -424 752397 56 752467 +rect -424 751753 56 751823 +rect -424 751109 56 751179 +rect -424 750557 56 750627 +rect 633270 750007 633726 750069 +rect -424 748073 56 748143 +rect 633270 748005 633726 748067 +rect -424 747429 56 747499 +rect -424 746785 56 746855 +rect 633270 746703 633750 746773 +rect -424 746233 56 746303 +rect 633270 746151 633750 746221 +rect 633270 745507 633750 745577 +rect 633270 744863 633750 744933 +rect -424 744393 56 744463 +rect -424 743749 56 743819 +rect -424 743105 56 743175 +rect 633270 743023 633750 743093 +rect -424 742553 56 742623 +rect 633270 742471 633750 742541 +rect 633270 741827 633750 741897 +rect 633270 741183 633750 741253 +rect 633270 738699 633750 738769 +rect 633270 738147 633750 738217 +rect 633270 737503 633750 737573 +rect 633270 736859 633750 736929 +rect -400 736644 56 736704 +rect 633269 736307 633750 736377 +rect 633270 735663 633750 735733 +rect -400 734644 56 734704 +rect 633270 734467 633750 734537 +rect 633270 733823 633750 733893 +rect 633270 731983 633750 732053 +rect -424 714073 56 714143 +rect -424 712233 56 712303 +rect -424 711589 56 711659 +rect -424 710393 56 710463 +rect -424 709749 57 709819 +rect -424 709197 56 709267 +rect -424 708553 56 708623 +rect -424 707909 56 707979 +rect -424 707357 56 707427 +rect 633270 705007 633726 705069 +rect -424 704873 56 704943 +rect -424 704229 56 704299 +rect -424 703585 56 703655 +rect -424 703033 56 703103 +rect 633270 703005 633726 703067 +rect 633270 701703 633750 701773 +rect -424 701193 56 701263 +rect 633270 701151 633750 701221 +rect -424 700549 56 700619 +rect 633270 700507 633750 700577 +rect -424 699905 56 699975 +rect 633270 699863 633750 699933 +rect -424 699353 56 699423 +rect 633270 698023 633750 698093 +rect 633270 697471 633750 697541 +rect 633270 696827 633750 696897 +rect 633270 696183 633750 696253 +rect -400 693644 56 693704 +rect 633270 693699 633750 693769 +rect 633270 693147 633750 693217 +rect 633270 692503 633750 692573 +rect 633270 691859 633750 691929 +rect -400 691644 56 691704 +rect 633269 691307 633750 691377 +rect 633270 690663 633750 690733 +rect 633270 689467 633750 689537 +rect 633270 688823 633750 688893 +rect 633270 686983 633750 687053 +rect -424 670873 56 670943 +rect -424 669033 56 669103 +rect -424 668389 56 668459 +rect -424 667193 56 667263 +rect -424 666549 57 666619 +rect -424 665997 56 666067 +rect -424 665353 56 665423 +rect -424 664709 56 664779 +rect -424 664157 56 664227 +rect -424 661673 56 661743 +rect -424 661029 56 661099 +rect -424 660385 56 660455 +rect 633270 660007 633726 660069 +rect -424 659833 56 659903 +rect -424 657993 56 658063 +rect 633270 658005 633726 658067 +rect -424 657349 56 657419 +rect -424 656705 56 656775 +rect 633270 656703 633750 656773 +rect -424 656153 56 656223 +rect 633270 656151 633750 656221 +rect 633270 655507 633750 655577 +rect 633270 654863 633750 654933 +rect 633270 653023 633750 653093 +rect 633270 652471 633750 652541 +rect 633270 651827 633750 651897 +rect 633270 651183 633750 651253 +rect -400 650644 56 650704 +rect -400 648644 56 648704 +rect 633270 648699 633750 648769 +rect 633270 648147 633750 648217 +rect 633270 647503 633750 647573 +rect 633270 646859 633750 646929 +rect 633269 646307 633750 646377 +rect 633270 645663 633750 645733 +rect 633270 644467 633750 644537 +rect 633270 643823 633750 643893 +rect 633270 641983 633750 642053 +rect -424 627673 56 627743 +rect -424 625833 56 625903 +rect -424 625189 56 625259 +rect -424 623993 56 624063 +rect -424 623349 57 623419 +rect -424 622797 56 622867 +rect -424 622153 56 622223 +rect -424 621509 56 621579 +rect -424 620957 56 621027 +rect -424 618473 56 618543 +rect -424 617829 56 617899 +rect -424 617185 56 617255 +rect -424 616633 56 616703 +rect 633270 615007 633726 615069 +rect -424 614793 56 614863 +rect -424 614149 56 614219 +rect -424 613505 56 613575 +rect -424 612953 56 613023 +rect 633270 613005 633726 613067 +rect 633270 611503 633750 611573 +rect 633270 610951 633750 611021 +rect 633270 610307 633750 610377 +rect 633270 609663 633750 609733 +rect 633270 607823 633750 607893 +rect -400 607644 56 607704 +rect 633270 607271 633750 607341 +rect 633270 606627 633750 606697 +rect 633270 605983 633750 606053 +rect -400 605644 56 605704 +rect 633270 603499 633750 603569 +rect 633270 602947 633750 603017 +rect 633270 602303 633750 602373 +rect 633270 601659 633750 601729 +rect 633269 601107 633750 601177 +rect 633270 600463 633750 600533 +rect 633270 599267 633750 599337 +rect 633270 598623 633750 598693 +rect 633270 596783 633750 596853 +rect -424 584473 56 584543 +rect -424 582633 56 582703 +rect -424 581989 56 582059 +rect -424 580793 56 580863 +rect -424 580149 57 580219 +rect -424 579597 56 579667 +rect -424 578953 56 579023 +rect -424 578309 56 578379 +rect -424 577757 56 577827 +rect -424 575273 56 575343 +rect -424 574629 56 574699 +rect -424 573985 56 574055 +rect -424 573433 56 573503 +rect -424 571593 56 571663 +rect -424 570949 56 571019 +rect -424 570305 56 570375 +rect 633270 570007 633726 570069 +rect -424 569753 56 569823 +rect 633270 568005 633726 568067 +rect 633270 566503 633750 566573 +rect 633270 565951 633750 566021 +rect 633270 565307 633750 565377 +rect -400 564644 56 564704 +rect 633270 564663 633750 564733 +rect 633270 562823 633750 562893 +rect -400 562644 56 562704 +rect 633270 562271 633750 562341 +rect 633270 561627 633750 561697 +rect 633270 560983 633750 561053 +rect 633270 558499 633750 558569 +rect 633270 557947 633750 558017 +rect 633270 557303 633750 557373 +rect 633270 556659 633750 556729 +rect 633269 556107 633750 556177 +rect 633270 555463 633750 555533 +rect 633270 554267 633750 554337 +rect 633270 553623 633750 553693 +rect 633270 551783 633750 551853 +rect -424 541273 56 541343 +rect -424 539433 56 539503 +rect -424 538789 56 538859 +rect -424 537593 56 537663 +rect -424 536949 57 537019 +rect -424 536397 56 536467 +rect -424 535753 56 535823 +rect -424 535109 56 535179 +rect -424 534557 56 534627 +rect -424 532073 56 532143 +rect -424 531429 56 531499 +rect -424 530785 56 530855 +rect -424 530233 56 530303 +rect -424 528393 56 528463 +rect -424 527749 56 527819 +rect -424 527105 56 527175 +rect -424 526553 56 526623 +rect 633270 525007 633726 525069 +rect 633270 523005 633726 523067 +rect -400 521644 56 521704 +rect 633270 521303 633750 521373 +rect 633270 520751 633750 520821 +rect 633270 520107 633750 520177 +rect -400 519644 56 519704 +rect 633270 519463 633750 519533 +rect 633270 517623 633750 517693 +rect 633270 517071 633750 517141 +rect 633270 516427 633750 516497 +rect 633270 515783 633750 515853 +rect 633270 513299 633750 513369 +rect 633270 512747 633750 512817 +rect 633270 512103 633750 512173 +rect 633270 511459 633750 511529 +rect 633269 510907 633750 510977 +rect 633270 510263 633750 510333 +rect 633270 509067 633750 509137 +rect 633270 508423 633750 508493 +rect 633270 506583 633750 506653 +rect -424 498073 56 498143 +rect -424 496233 56 496303 +rect -424 495589 56 495659 +rect -424 494393 56 494463 +rect -424 493749 57 493819 +rect -424 493197 56 493267 +rect -424 492553 56 492623 +rect -424 491909 56 491979 +rect -424 491357 56 491427 +rect -424 488873 56 488943 +rect -424 488229 56 488299 +rect -424 487585 56 487655 +rect -424 487033 56 487103 +rect -424 485193 56 485263 +rect -424 484549 56 484619 +rect -424 483905 56 483975 +rect -424 483353 56 483423 +rect -400 478644 56 478704 +rect -400 476644 56 476704 +rect 633270 471784 633770 476564 +rect 633270 461805 633770 466585 +rect -444 450941 56 455721 +rect -444 440962 56 445742 +rect 633270 427763 633770 432563 +rect 633270 422812 633770 427463 +rect 633270 417723 633770 422512 +rect -444 408814 56 413603 +rect -444 403863 56 408514 +rect -444 398763 56 403563 +rect 633270 383584 633770 388364 +rect 633270 373605 633770 378385 +rect -424 370473 56 370543 +rect -424 368633 56 368703 +rect -424 367989 56 368059 +rect -424 366793 56 366863 +rect -424 366149 57 366219 +rect -424 365597 56 365667 +rect -424 364953 56 365023 +rect -424 364309 56 364379 +rect -424 363757 56 363827 +rect -424 361273 56 361343 +rect -424 360629 56 360699 +rect -424 359985 56 360055 +rect -424 359433 56 359503 +rect -424 357593 56 357663 +rect -424 356949 56 357019 +rect -424 356305 56 356375 +rect -424 355753 56 355823 +rect -400 349644 56 349704 +rect 633270 348007 633726 348069 +rect -400 347644 56 347704 +rect 633270 346005 633726 346067 +rect 633270 344103 633750 344173 +rect 633270 343551 633750 343621 +rect 633270 342907 633750 342977 +rect 633270 342263 633750 342333 +rect 633270 340423 633750 340493 +rect 633270 339871 633750 339941 +rect 633270 339227 633750 339297 +rect 633270 338583 633750 338653 +rect 633270 336099 633750 336169 +rect 633270 335547 633750 335617 +rect 633270 334903 633750 334973 +rect 633270 334259 633750 334329 +rect 633269 333707 633750 333777 +rect 633270 333063 633750 333133 +rect 633270 331867 633750 331937 +rect 633270 331223 633750 331293 +rect 633270 329383 633750 329453 +rect -424 327273 56 327343 +rect -424 325433 56 325503 +rect -424 324789 56 324859 +rect -424 323593 56 323663 +rect -424 322949 57 323019 +rect -424 322397 56 322467 +rect -424 321753 56 321823 +rect -424 321109 56 321179 +rect -424 320557 56 320627 +rect -424 318073 56 318143 +rect -424 317429 56 317499 +rect -424 316785 56 316855 +rect -424 316233 56 316303 +rect -424 314393 56 314463 +rect -424 313749 56 313819 +rect -424 313105 56 313175 +rect -424 312553 56 312623 +rect -400 306644 56 306704 +rect -400 304644 56 304704 +rect 633270 303007 633726 303069 +rect 633270 301005 633726 301067 +rect 633270 298903 633750 298973 +rect 633270 298351 633750 298421 +rect 633270 297707 633750 297777 +rect 633270 297063 633750 297133 +rect 633270 295223 633750 295293 +rect 633270 294671 633750 294741 +rect 633270 294027 633750 294097 +rect 633270 293383 633750 293453 +rect 633270 290899 633750 290969 +rect 633270 290347 633750 290417 +rect 633270 289703 633750 289773 +rect 633270 289059 633750 289129 +rect 633269 288507 633750 288577 +rect 633270 287863 633750 287933 +rect 633270 286667 633750 286737 +rect 633270 286023 633750 286093 +rect 633270 284183 633750 284253 +rect -424 284073 56 284143 +rect -424 282233 56 282303 +rect -424 281589 56 281659 +rect -424 280393 56 280463 +rect -424 279749 57 279819 +rect -424 279197 56 279267 +rect -424 278553 56 278623 +rect -424 277909 56 277979 +rect -424 277357 56 277427 +rect -424 274873 56 274943 +rect -424 274229 56 274299 +rect -424 273585 56 273655 +rect -424 273033 56 273103 +rect -424 271193 56 271263 +rect -424 270549 56 270619 +rect -424 269905 56 269975 +rect -424 269353 56 269423 +rect -400 263644 56 263704 +rect -400 261644 56 261704 +rect 633270 258007 633726 258069 +rect 633270 256005 633726 256067 +rect 633270 253903 633750 253973 +rect 633270 253351 633750 253421 +rect 633270 252707 633750 252777 +rect 633270 252063 633750 252133 +rect 633270 250223 633750 250293 +rect 633270 249671 633750 249741 +rect 633270 249027 633750 249097 +rect 633270 248383 633750 248453 +rect 633270 245899 633750 245969 +rect 633270 245347 633750 245417 +rect 633270 244703 633750 244773 +rect 633270 244059 633750 244129 +rect 633269 243507 633750 243577 +rect 633270 242863 633750 242933 +rect 633270 241667 633750 241737 +rect 633270 241023 633750 241093 +rect -424 240873 56 240943 +rect 633270 239183 633750 239253 +rect -424 239033 56 239103 +rect -424 238389 56 238459 +rect -424 237193 56 237263 +rect -424 236549 57 236619 +rect -424 235997 56 236067 +rect -424 235353 56 235423 +rect -424 234709 56 234779 +rect -424 234157 56 234227 +rect -424 231673 56 231743 +rect -424 231029 56 231099 +rect -424 230385 56 230455 +rect -424 229833 56 229903 +rect -424 227993 56 228063 +rect -424 227349 56 227419 +rect -424 226705 56 226775 +rect -424 226153 56 226223 +rect -400 220644 56 220704 +rect -400 218644 56 218704 +rect 633270 213007 633726 213069 +rect 633270 211005 633726 211067 +rect 633270 208903 633750 208973 +rect 633270 208351 633750 208421 +rect 633270 207707 633750 207777 +rect 633270 207063 633750 207133 +rect 633270 205223 633750 205293 +rect 633270 204671 633750 204741 +rect 633270 204027 633750 204097 +rect 633270 203383 633750 203453 +rect 633270 200899 633750 200969 +rect 633270 200347 633750 200417 +rect 633270 199703 633750 199773 +rect 633270 199059 633750 199129 +rect 633269 198507 633750 198577 +rect 633270 197863 633750 197933 +rect -424 197673 56 197744 +rect 633270 196667 633750 196737 +rect 633270 196023 633750 196093 +rect -424 195833 56 195904 +rect -424 195189 56 195260 +rect 633270 194183 633750 194253 +rect -424 193993 56 194064 +rect -424 193419 56 193420 +rect -424 193349 57 193419 +rect -424 192797 56 192868 +rect -424 192153 56 192224 +rect -424 191509 56 191580 +rect -424 190957 56 191028 +rect -424 188473 56 188544 +rect -424 187829 56 187900 +rect -424 187185 56 187256 +rect -424 186633 56 186704 +rect -424 184793 56 184864 +rect -424 184149 56 184220 +rect -424 183505 56 183576 +rect -424 182953 56 183024 +rect -400 177644 56 177704 +rect -400 175644 56 175704 +rect 633270 168007 633726 168069 +rect 633270 166005 633726 166067 +rect 633270 163703 633750 163773 +rect 633270 163151 633750 163221 +rect 633270 162507 633750 162577 +rect 633270 161863 633750 161933 +rect 633270 160023 633750 160093 +rect 633270 159471 633750 159541 +rect 633270 158827 633750 158897 +rect 633270 158183 633750 158253 +rect 633270 155699 633750 155769 +rect 633270 155147 633750 155217 +rect -424 154473 56 154544 +rect 633270 154503 633750 154573 +rect 633270 153859 633750 153929 +rect 633269 153307 633750 153377 +rect -424 152633 56 152704 +rect 633270 152663 633750 152733 +rect -424 151989 56 152060 +rect 633270 151467 633750 151537 +rect -424 150793 56 150864 +rect 633270 150823 633750 150893 +rect -424 150219 56 150220 +rect -424 150149 57 150219 +rect -424 149597 56 149668 +rect -424 148953 56 149024 +rect 633270 148983 633750 149053 +rect -424 148309 56 148380 +rect -424 147757 56 147828 +rect -424 145273 56 145344 +rect -424 144629 56 144700 +rect -424 143985 56 144056 +rect -424 143433 56 143504 +rect -424 141592 56 141663 +rect -424 140949 56 141020 +rect -424 140305 56 140376 +rect -424 139753 56 139824 +rect -400 134644 56 134704 +rect -400 132644 56 132704 +rect 633270 123007 633726 123069 +rect 633270 121005 633726 121067 +rect 633270 118703 633750 118773 +rect 633270 118151 633750 118221 +rect 633270 117507 633750 117577 +rect 633270 116863 633750 116933 +rect 633270 115023 633750 115093 +rect 633270 114471 633750 114541 +rect 633270 113827 633750 113897 +rect 633270 113183 633750 113253 +rect 633270 110699 633750 110769 +rect 633270 110147 633750 110217 +rect 633270 109503 633750 109573 +rect 633270 108859 633750 108929 +rect 633269 108307 633750 108377 +rect 633270 107663 633750 107733 +rect 633270 106467 633750 106537 +rect 633270 105823 633750 105893 +rect 633270 103983 633750 104053 +rect -444 78141 56 82921 +rect 633270 78007 633726 78069 +rect 633270 76005 633726 76067 +rect 633270 73503 633750 73573 +rect 633270 72951 633750 73021 +rect -444 68162 56 72942 +rect 633270 72307 633750 72377 +rect 633270 71663 633750 71733 +rect 633270 69823 633750 69893 +rect 633270 69271 633750 69341 +rect 633270 68627 633750 68697 +rect 633270 67983 633750 68053 +rect 633270 65499 633750 65569 +rect 633270 64947 633750 65017 +rect 633270 64303 633750 64373 +rect 633270 63659 633750 63729 +rect 633269 63107 633750 63177 +rect 633270 62463 633750 62533 +rect 633270 61267 633750 61337 +rect 633270 60623 633750 60693 +rect 633270 58783 633750 58853 +rect -400 53595 56 53665 +rect -400 53372 56 53442 +rect -400 53147 56 53217 +rect -444 36014 56 40803 +rect -444 25963 56 30763 +rect 36805 -444 41585 56 +rect 46784 -444 51564 57 +rect 199283 -444 203912 56 +rect 209163 -444 213963 56 +rect 527005 -444 531785 56 +rect 536984 -444 541764 56 +rect 580805 -444 585585 56 +rect 590784 -444 595564 56 +<< comment >> +rect -400 953326 633726 953726 +rect -400 0 0 953326 +rect 633326 0 633726 953326 +rect -400 -400 633726 0 +<< labels >> +flabel metal2 485870 -424 485926 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[43] +port 290 nsew +flabel metal2 s 594004 953270 594060 953750 0 FreeSans 400 90 0 0 gpio_analog_en[15] +port 450 nsew +flabel metal2 s 592716 953270 592772 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[15] +port 538 nsew +flabel metal2 s 589680 953270 589736 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[15] +port 494 nsew +flabel metal2 s 593360 953270 593416 953750 0 FreeSans 400 90 0 0 gpio_dm0[15] +port 582 nsew +flabel metal2 s 595200 953270 595256 953750 0 FreeSans 400 90 0 0 gpio_dm1[15] +port 626 nsew +flabel metal2 s 589036 953270 589092 953750 0 FreeSans 400 90 0 0 gpio_dm2[15] +port 670 nsew +flabel metal2 s 588392 953270 588448 953750 0 FreeSans 400 90 0 0 gpio_holdover[15] +port 406 nsew +flabel metal2 s 585356 953270 585412 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[15] +port 274 nsew +flabel metal2 s 592164 953270 592220 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[15] +port 230 nsew +flabel metal2 s 584712 953270 584768 953750 0 FreeSans 400 90 0 0 gpio_oeb[15] +port 186 nsew +flabel metal2 s 587840 953270 587896 953750 0 FreeSans 400 90 0 0 gpio_out[15] +port 142 nsew +flabel metal2 s 597040 953270 597096 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[15] +port 362 nsew +flabel metal2 s 586000 953270 586056 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[15] +port 318 nsew +flabel metal2 s 598880 953270 598936 953750 0 FreeSans 400 90 0 0 gpio_in[15] +port 714 nsew +flabel metal2 s 492204 953270 492260 953750 0 FreeSans 400 90 0 0 gpio_analog_en[16] +port 449 nsew +flabel metal2 s 490916 953270 490972 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[16] +port 537 nsew +flabel metal2 s 487880 953270 487936 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[16] +port 493 nsew +flabel metal2 s 491560 953270 491616 953750 0 FreeSans 400 90 0 0 gpio_dm0[16] +port 581 nsew +flabel metal2 s 493400 953270 493456 953750 0 FreeSans 400 90 0 0 gpio_dm1[16] +port 625 nsew +flabel metal2 s 487236 953270 487292 953750 0 FreeSans 400 90 0 0 gpio_dm2[16] +port 669 nsew +flabel metal2 s 486592 953270 486648 953750 0 FreeSans 400 90 0 0 gpio_holdover[16] +port 405 nsew +flabel metal2 s 483556 953270 483612 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[16] +port 273 nsew +flabel metal2 s 490364 953270 490420 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[16] +port 229 nsew +flabel metal2 s 482912 953270 482968 953750 0 FreeSans 400 90 0 0 gpio_oeb[16] +port 185 nsew +flabel metal2 s 486040 953270 486096 953750 0 FreeSans 400 90 0 0 gpio_out[16] +port 141 nsew +flabel metal2 s 495240 953270 495296 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[16] +port 361 nsew +flabel metal2 s 484200 953270 484256 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[16] +port 317 nsew +flabel metal2 s 497080 953270 497136 953750 0 FreeSans 400 90 0 0 gpio_in[16] +port 713 nsew +flabel metal2 s 442000 953270 442056 953750 0 FreeSans 400 90 0 0 gpio_dm1[17] +port 624 nsew +flabel metal2 s 435836 953270 435892 953750 0 FreeSans 400 90 0 0 gpio_dm2[17] +port 668 nsew +flabel metal2 s 435192 953270 435248 953750 0 FreeSans 400 90 0 0 gpio_holdover[17] +port 404 nsew +flabel metal2 s 432156 953270 432212 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[17] +port 272 nsew +flabel metal2 s 438964 953270 439020 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[17] +port 228 nsew +flabel metal2 s 431512 953270 431568 953750 0 FreeSans 400 90 0 0 gpio_oeb[17] +port 184 nsew +flabel metal2 s 434640 953270 434696 953750 0 FreeSans 400 90 0 0 gpio_out[17] +port 140 nsew +flabel metal2 s 443840 953270 443896 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[17] +port 360 nsew +flabel metal2 s 432800 953270 432856 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[17] +port 316 nsew +flabel metal2 s 445680 953270 445736 953750 0 FreeSans 400 90 0 0 gpio_in[17] +port 712 nsew +flabel metal2 s 351804 953270 351860 953750 0 FreeSans 400 90 0 0 gpio_analog_en[18] +port 447 nsew +flabel metal2 s 350516 953270 350572 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[18] +port 535 nsew +flabel metal2 s 347480 953270 347536 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[18] +port 491 nsew +flabel metal2 s 351160 953270 351216 953750 0 FreeSans 400 90 0 0 gpio_dm0[18] +port 579 nsew +flabel metal2 s 353000 953270 353056 953750 0 FreeSans 400 90 0 0 gpio_dm1[18] +port 623 nsew +flabel metal2 s 346836 953270 346892 953750 0 FreeSans 400 90 0 0 gpio_dm2[18] +port 667 nsew +flabel metal2 s 346192 953270 346248 953750 0 FreeSans 400 90 0 0 gpio_holdover[18] +port 403 nsew +flabel metal2 s 343156 953270 343212 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[18] +port 271 nsew +flabel metal2 s 349964 953270 350020 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[18] +port 227 nsew +flabel metal2 s 342512 953270 342568 953750 0 FreeSans 400 90 0 0 gpio_oeb[18] +port 183 nsew +flabel metal2 s 345640 953270 345696 953750 0 FreeSans 400 90 0 0 gpio_out[18] +port 139 nsew +flabel metal2 s 354840 953270 354896 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[18] +port 359 nsew +flabel metal2 s 343800 953270 343856 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[18] +port 315 nsew +flabel metal2 s 356680 953270 356736 953750 0 FreeSans 400 90 0 0 gpio_in[18] +port 711 nsew +flabel metal2 s 440804 953270 440860 953750 0 FreeSans 400 90 0 0 gpio_analog_en[17] +port 448 nsew +flabel metal2 s 439516 953270 439572 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[17] +port 536 nsew +flabel metal2 s 436480 953270 436536 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[17] +port 492 nsew +flabel metal2 s 440160 953270 440216 953750 0 FreeSans 400 90 0 0 gpio_dm0[17] +port 580 nsew +flabel metal2 s 253040 953270 253096 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[19] +port 358 nsew +flabel metal2 s 242000 953270 242056 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[19] +port 314 nsew +flabel metal2 s 254880 953270 254936 953750 0 FreeSans 400 90 0 0 gpio_in[19] +port 710 nsew +flabel metal2 s 198404 953270 198460 953750 0 FreeSans 400 90 0 0 gpio_analog_en[20] +port 445 nsew +flabel metal2 s 197116 953270 197172 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[20] +port 533 nsew +flabel metal2 s 194080 953270 194136 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[20] +port 489 nsew +flabel metal2 s 197760 953270 197816 953750 0 FreeSans 400 90 0 0 gpio_dm0[20] +port 577 nsew +flabel metal2 s 199600 953270 199656 953750 0 FreeSans 400 90 0 0 gpio_dm1[20] +port 621 nsew +flabel metal2 s 193436 953270 193492 953750 0 FreeSans 400 90 0 0 gpio_dm2[20] +port 665 nsew +flabel metal2 s 192792 953270 192848 953750 0 FreeSans 400 90 0 0 gpio_holdover[20] +port 401 nsew +flabel metal2 s 189756 953270 189812 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[20] +port 269 nsew +flabel metal2 s 196564 953270 196620 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[20] +port 225 nsew +flabel metal2 s 189112 953270 189168 953750 0 FreeSans 400 90 0 0 gpio_oeb[20] +port 181 nsew +flabel metal2 s 192240 953270 192296 953750 0 FreeSans 400 90 0 0 gpio_out[20] +port 137 nsew +flabel metal2 s 201440 953270 201496 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[20] +port 357 nsew +flabel metal2 s 190400 953270 190456 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[20] +port 313 nsew +flabel metal2 s 203280 953270 203336 953750 0 FreeSans 400 90 0 0 gpio_in[20] +port 709 nsew +flabel metal2 s 250004 953270 250060 953750 0 FreeSans 400 90 0 0 gpio_analog_en[19] +port 446 nsew +flabel metal2 s 248716 953270 248772 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[19] +port 534 nsew +flabel metal2 s 245680 953270 245736 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[19] +port 490 nsew +flabel metal2 s 249360 953270 249416 953750 0 FreeSans 400 90 0 0 gpio_dm0[19] +port 578 nsew +flabel metal2 s 251200 953270 251256 953750 0 FreeSans 400 90 0 0 gpio_dm1[19] +port 622 nsew +flabel metal2 s 245036 953270 245092 953750 0 FreeSans 400 90 0 0 gpio_dm2[19] +port 666 nsew +flabel metal2 s 244392 953270 244448 953750 0 FreeSans 400 90 0 0 gpio_holdover[19] +port 402 nsew +flabel metal2 s 241356 953270 241412 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[19] +port 270 nsew +flabel metal2 s 248164 953270 248220 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[19] +port 226 nsew +flabel metal2 s 240712 953270 240768 953750 0 FreeSans 400 90 0 0 gpio_oeb[19] +port 182 nsew +flabel metal2 s 243840 953270 243896 953750 0 FreeSans 400 90 0 0 gpio_out[19] +port 138 nsew +flabel metal2 s 151880 953270 151936 953750 0 FreeSans 400 90 0 0 gpio_in[21] +port 708 nsew +flabel metal2 s 95604 953270 95660 953750 0 FreeSans 400 90 0 0 gpio_analog_en[22] +port 443 nsew +flabel metal2 s 94316 953270 94372 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[22] +port 531 nsew +flabel metal2 s 91280 953270 91336 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[22] +port 487 nsew +flabel metal2 s 94960 953270 95016 953750 0 FreeSans 400 90 0 0 gpio_dm0[22] +port 575 nsew +flabel metal2 s 96800 953270 96856 953750 0 FreeSans 400 90 0 0 gpio_dm1[22] +port 619 nsew +flabel metal2 s 90636 953270 90692 953750 0 FreeSans 400 90 0 0 gpio_dm2[22] +port 663 nsew +flabel metal2 s 89992 953270 90048 953750 0 FreeSans 400 90 0 0 gpio_holdover[22] +port 399 nsew +flabel metal2 s 86956 953270 87012 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[22] +port 267 nsew +flabel metal2 s 93764 953270 93820 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[22] +port 223 nsew +flabel metal2 s 86312 953270 86368 953750 0 FreeSans 400 90 0 0 gpio_oeb[22] +port 179 nsew +flabel metal2 s 89440 953270 89496 953750 0 FreeSans 400 90 0 0 gpio_out[22] +port 135 nsew +flabel metal2 s 98640 953270 98696 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[22] +port 355 nsew +flabel metal2 s 87600 953270 87656 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[22] +port 311 nsew +flabel metal2 s 100480 953270 100536 953750 0 FreeSans 400 90 0 0 gpio_in[22] +port 707 nsew +flabel metal2 s 44204 953270 44260 953750 0 FreeSans 400 90 0 0 gpio_analog_en[23] +port 442 nsew +flabel metal2 s 42916 953270 42972 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[23] +port 530 nsew +flabel metal2 s 39880 953270 39936 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[23] +port 486 nsew +flabel metal2 s 43560 953270 43616 953750 0 FreeSans 400 90 0 0 gpio_dm0[23] +port 574 nsew +flabel metal2 s 45400 953270 45456 953750 0 FreeSans 400 90 0 0 gpio_dm1[23] +port 618 nsew +flabel metal2 s 39236 953270 39292 953750 0 FreeSans 400 90 0 0 gpio_dm2[23] +port 662 nsew +flabel metal2 s 38592 953270 38648 953750 0 FreeSans 400 90 0 0 gpio_holdover[23] +port 398 nsew +flabel metal2 s 35556 953270 35612 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[23] +port 266 nsew +flabel metal2 s 42364 953270 42420 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[23] +port 222 nsew +flabel metal2 s 34912 953270 34968 953750 0 FreeSans 400 90 0 0 gpio_oeb[23] +port 178 nsew +flabel metal2 s 38040 953270 38096 953750 0 FreeSans 400 90 0 0 gpio_out[23] +port 134 nsew +flabel metal2 s 47240 953270 47296 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[23] +port 354 nsew +flabel metal2 s 36200 953270 36256 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[23] +port 310 nsew +flabel metal2 s 49080 953270 49136 953750 0 FreeSans 400 90 0 0 gpio_in[23] +port 706 nsew +flabel metal2 s 147004 953270 147060 953750 0 FreeSans 400 90 0 0 gpio_analog_en[21] +port 444 nsew +flabel metal2 s 145716 953270 145772 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[21] +port 532 nsew +flabel metal2 s 142680 953270 142736 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[21] +port 488 nsew +flabel metal2 s 146360 953270 146416 953750 0 FreeSans 400 90 0 0 gpio_dm0[21] +port 576 nsew +flabel metal2 s 148200 953270 148256 953750 0 FreeSans 400 90 0 0 gpio_dm1[21] +port 620 nsew +flabel metal2 s 142036 953270 142092 953750 0 FreeSans 400 90 0 0 gpio_dm2[21] +port 664 nsew +flabel metal2 s 141392 953270 141448 953750 0 FreeSans 400 90 0 0 gpio_holdover[21] +port 400 nsew +flabel metal2 s 138356 953270 138412 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[21] +port 268 nsew +flabel metal2 s 145164 953270 145220 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[21] +port 224 nsew +flabel metal2 s 137712 953270 137768 953750 0 FreeSans 400 90 0 0 gpio_oeb[21] +port 180 nsew +flabel metal2 s 140840 953270 140896 953750 0 FreeSans 400 90 0 0 gpio_out[21] +port 136 nsew +flabel metal2 s 150040 953270 150096 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[21] +port 356 nsew +flabel metal2 s 139000 953270 139056 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[21] +port 312 nsew +flabel metal2 145190 -424 145246 56 0 FreeSans 400 270 0 0 gpio_in[38] +port 691 nsew +flabel metal2 147030 -424 147086 56 0 FreeSans 400 270 0 0 gpio_slow_sel[38] +port 339 nsew +flabel metal2 148870 -424 148926 56 0 FreeSans 400 270 0 0 gpio_dm0[38] +port 559 nsew +flabel metal2 150710 -424 150766 56 0 FreeSans 400 270 0 0 gpio_dm1[38] +port 603 nsew +flabel metal2 151354 -424 151410 56 0 FreeSans 400 270 0 0 gpio_analog_pol[38] +port 515 nsew +flabel metal2 150066 -424 150122 56 0 FreeSans 400 270 0 0 gpio_analog_en[38] +port 427 nsew +flabel metal2 151906 -424 151962 56 0 FreeSans 400 270 0 0 gpio_inp_dis[38] +port 207 nsew +flabel metal2 154390 -424 154446 56 0 FreeSans 400 270 0 0 gpio_analog_sel[38] +port 471 nsew +flabel metal2 155034 -424 155090 56 0 FreeSans 400 270 0 0 gpio_dm2[38] +port 647 nsew +flabel metal2 155678 -424 155734 56 0 FreeSans 400 270 0 0 gpio_holdover[38] +port 383 nsew +flabel metal2 156230 -424 156286 56 0 FreeSans 400 270 0 0 gpio_out[38] +port 119 nsew +flabel metal2 158070 -424 158126 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[38] +port 295 nsew +flabel metal2 158714 -424 158770 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[38] +port 251 nsew +flabel metal2 159358 -424 159414 56 0 FreeSans 400 270 0 0 gpio_oeb[38] +port 163 nsew +flabel metal2 253790 -424 253846 56 0 FreeSans 400 270 0 0 gpio_in[39] +port 690 nsew +flabel metal2 255630 -424 255686 56 0 FreeSans 400 270 0 0 gpio_slow_sel[39] +port 338 nsew +flabel metal2 257470 -424 257526 56 0 FreeSans 400 270 0 0 gpio_dm1[39] +port 602 nsew +flabel metal2 259310 -424 259366 56 0 FreeSans 400 270 0 0 gpio_dm0[39] +port 558 nsew +flabel metal2 259954 -424 260010 56 0 FreeSans 400 270 0 0 gpio_analog_pol[39] +port 514 nsew +flabel metal2 258666 -424 258722 56 0 FreeSans 400 270 0 0 gpio_analog_en[39] +port 426 nsew +flabel metal2 260506 -424 260562 56 0 FreeSans 400 270 0 0 gpio_inp_dis[39] +port 206 nsew +flabel metal2 262990 -424 263046 56 0 FreeSans 400 270 0 0 gpio_analog_sel[39] +port 470 nsew +flabel metal2 263634 -424 263690 56 0 FreeSans 400 270 0 0 gpio_dm2[39] +port 646 nsew +flabel metal2 264278 -424 264334 56 0 FreeSans 400 270 0 0 gpio_holdover[39] +port 382 nsew +flabel metal2 264830 -424 264886 56 0 FreeSans 400 270 0 0 gpio_out[39] +port 118 nsew +flabel metal2 266670 -424 266726 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[39] +port 294 nsew +flabel metal2 267314 -424 267370 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[39] +port 250 nsew +flabel metal2 267958 -424 268014 56 0 FreeSans 400 270 0 0 gpio_oeb[39] +port 162 nsew +flabel metal2 308590 -424 308646 56 0 FreeSans 400 270 0 0 gpio_in[40] +port 689 nsew +flabel metal2 310430 -424 310486 56 0 FreeSans 400 270 0 0 gpio_slow_sel[40] +port 337 nsew +flabel metal2 312270 -424 312326 56 0 FreeSans 400 270 0 0 gpio_dm1[40] +port 601 nsew +flabel metal2 314110 -424 314166 56 0 FreeSans 400 270 0 0 gpio_dm0[40] +port 557 nsew +flabel metal2 314754 -424 314810 56 0 FreeSans 400 270 0 0 gpio_analog_pol[40] +port 513 nsew +flabel metal2 313466 -424 313522 56 0 FreeSans 400 270 0 0 gpio_analog_en[40] +port 425 nsew +flabel metal2 315306 -424 315362 56 0 FreeSans 400 270 0 0 gpio_inp_dis[40] +port 205 nsew +flabel metal2 317790 -424 317846 56 0 FreeSans 400 270 0 0 gpio_analog_sel[40] +port 469 nsew +flabel metal2 318434 -424 318490 56 0 FreeSans 400 270 0 0 gpio_dm2[40] +port 645 nsew +flabel metal2 319078 -424 319134 56 0 FreeSans 400 270 0 0 gpio_holdover[40] +port 381 nsew +flabel metal2 319630 -424 319686 56 0 FreeSans 400 270 0 0 gpio_out[40] +port 117 nsew +flabel metal2 321470 -424 321526 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[40] +port 293 nsew +flabel metal2 322114 -424 322170 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[40] +port 249 nsew +flabel metal2 322758 -424 322814 56 0 FreeSans 400 270 0 0 gpio_oeb[40] +port 161 nsew +flabel metal2 363390 -424 363446 56 0 FreeSans 400 270 0 0 gpio_in[41] +port 688 nsew +flabel metal2 365230 -424 365286 56 0 FreeSans 400 270 0 0 gpio_slow_sel[41] +port 336 nsew +flabel metal2 367070 -424 367126 56 0 FreeSans 400 270 0 0 gpio_dm1[41] +port 600 nsew +flabel metal2 368910 -424 368966 56 0 FreeSans 400 270 0 0 gpio_dm0[41] +port 556 nsew +flabel metal2 369554 -424 369610 56 0 FreeSans 400 270 0 0 gpio_analog_pol[41] +port 512 nsew +flabel metal2 368266 -424 368322 56 0 FreeSans 400 270 0 0 gpio_analog_en[41] +port 424 nsew +flabel metal2 370106 -424 370162 56 0 FreeSans 400 270 0 0 gpio_inp_dis[41] +port 204 nsew +flabel metal2 372590 -424 372646 56 0 FreeSans 400 270 0 0 gpio_analog_sel[41] +port 468 nsew +flabel metal2 373234 -424 373290 56 0 FreeSans 400 270 0 0 gpio_dm2[41] +port 644 nsew +flabel metal2 373878 -424 373934 56 0 FreeSans 400 270 0 0 gpio_holdover[41] +port 380 nsew +flabel metal2 374430 -424 374486 56 0 FreeSans 400 270 0 0 gpio_out[41] +port 116 nsew +flabel metal2 376270 -424 376326 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[41] +port 292 nsew +flabel metal2 376914 -424 376970 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[41] +port 248 nsew +flabel metal2 377558 -424 377614 56 0 FreeSans 400 270 0 0 gpio_oeb[41] +port 160 nsew +flabel metal2 418190 -424 418246 56 0 FreeSans 400 270 0 0 gpio_in[42] +port 687 nsew +flabel metal2 420030 -424 420086 56 0 FreeSans 400 270 0 0 gpio_slow_sel[42] +port 335 nsew +flabel metal2 421870 -424 421926 56 0 FreeSans 400 270 0 0 gpio_dm1[42] +port 599 nsew +flabel metal2 423710 -424 423766 56 0 FreeSans 400 270 0 0 gpio_dm0[42] +port 555 nsew +flabel metal2 424354 -424 424410 56 0 FreeSans 400 270 0 0 gpio_analog_pol[42] +port 511 nsew +flabel metal2 423066 -424 423122 56 0 FreeSans 400 270 0 0 gpio_analog_en[42] +port 423 nsew +flabel metal2 424906 -424 424962 56 0 FreeSans 400 270 0 0 gpio_inp_dis[42] +port 203 nsew +flabel metal2 427390 -424 427446 56 0 FreeSans 400 270 0 0 gpio_analog_sel[42] +port 467 nsew +flabel metal2 428034 -424 428090 56 0 FreeSans 400 270 0 0 gpio_dm2[42] +port 643 nsew +flabel metal2 428678 -424 428734 56 0 FreeSans 400 270 0 0 gpio_holdover[42] +port 379 nsew +flabel metal2 429230 -424 429286 56 0 FreeSans 400 270 0 0 gpio_out[42] +port 115 nsew +flabel metal2 431070 -424 431126 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[42] +port 291 nsew +flabel metal2 431714 -424 431770 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[42] +port 247 nsew +flabel metal2 432358 -424 432414 56 0 FreeSans 400 270 0 0 gpio_oeb[42] +port 159 nsew +flabel metal2 472990 -424 473046 56 0 FreeSans 400 270 0 0 gpio_in[43] +port 686 nsew +flabel metal2 474830 -424 474886 56 0 FreeSans 400 270 0 0 gpio_slow_sel[43] +port 334 nsew +flabel metal2 476670 -424 476726 56 0 FreeSans 400 270 0 0 gpio_dm1[43] +port 598 nsew +flabel metal2 478510 -424 478566 56 0 FreeSans 400 270 0 0 gpio_dm0[43] +port 554 nsew +flabel metal2 479154 -424 479210 56 0 FreeSans 400 270 0 0 gpio_analog_pol[43] +port 510 nsew +flabel metal2 477866 -424 477922 56 0 FreeSans 400 270 0 0 gpio_analog_en[43] +port 422 nsew +flabel metal2 479706 -424 479762 56 0 FreeSans 400 270 0 0 gpio_inp_dis[43] +port 202 nsew +flabel metal2 482190 -424 482246 56 0 FreeSans 400 270 0 0 gpio_analog_sel[43] +port 466 nsew +flabel metal2 482834 -424 482890 56 0 FreeSans 400 270 0 0 gpio_dm2[43] +port 642 nsew +flabel metal2 483478 -424 483534 56 0 FreeSans 400 270 0 0 gpio_holdover[43] +port 378 nsew +flabel metal2 484030 -424 484086 56 0 FreeSans 400 270 0 0 gpio_out[43] +port 114 nsew +flabel metal2 486514 -424 486570 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[43] +port 246 nsew +flabel metal2 487158 -424 487214 56 0 FreeSans 400 270 0 0 gpio_oeb[43] +port 158 nsew +flabel metal2 s 584160 953270 584216 953750 0 FreeSans 400 90 0 0 gpio_in_h[15] +port 758 nsew +flabel metal2 s 482360 953270 482416 953750 0 FreeSans 400 90 0 0 gpio_in_h[16] +port 757 nsew +flabel metal2 s 430960 953270 431016 953750 0 FreeSans 400 90 0 0 gpio_in_h[17] +port 756 nsew +flabel metal2 s 341960 953270 342016 953750 0 FreeSans 400 90 0 0 gpio_in_h[18] +port 755 nsew +flabel metal2 s 240160 953270 240216 953750 0 FreeSans 400 90 0 0 gpio_in_h[19] +port 754 nsew +flabel metal2 s 188560 953270 188616 953750 0 FreeSans 400 90 0 0 gpio_in_h[20] +port 753 nsew +flabel metal2 s 137160 953270 137216 953750 0 FreeSans 400 90 0 0 gpio_in_h[21] +port 752 nsew +flabel metal2 s 85760 953270 85816 953750 0 FreeSans 400 90 0 0 gpio_in_h[22] +port 751 nsew +flabel metal2 s 34360 953270 34416 953750 0 FreeSans 400 90 0 0 gpio_in_h[23] +port 750 nsew +flabel metal2 s 159910 -424 159966 56 0 FreeSans 400 90 0 0 gpio_in_h[38] +port 735 nsew +flabel metal2 s 268510 -424 268566 56 0 FreeSans 400 90 0 0 gpio_in_h[39] +port 734 nsew +flabel metal2 s 323310 -424 323366 56 0 FreeSans 400 90 0 0 gpio_in_h[40] +port 733 nsew +flabel metal2 s 378110 -424 378166 56 0 FreeSans 400 90 0 0 gpio_in_h[41] +port 732 nsew +flabel metal2 s 432910 -424 432966 56 0 FreeSans 400 90 0 0 gpio_in_h[42] +port 731 nsew +flabel metal2 s 487710 -424 487766 56 0 FreeSans 400 90 0 0 gpio_in_h[43] +port 730 nsew +flabel metal2 s 596396 953270 596452 953750 0 FreeSans 400 90 0 0 analog_io[15] +port 890 nsew +flabel metal2 s 494596 953270 494652 953750 0 FreeSans 400 90 0 0 analog_io[16] +port 889 nsew +flabel metal2 s 443196 953270 443252 953750 0 FreeSans 400 90 0 0 analog_io[17] +port 888 nsew +flabel metal2 s 354196 953270 354252 953750 0 FreeSans 400 90 0 0 analog_io[18] +port 887 nsew +flabel metal2 s 252396 953270 252452 953750 0 FreeSans 400 90 0 0 analog_io[19] +port 886 nsew +flabel metal2 s 200796 953270 200852 953750 0 FreeSans 400 90 0 0 analog_io[20] +port 885 nsew +flabel metal2 s 149396 953270 149452 953750 0 FreeSans 400 90 0 0 analog_io[21] +port 884 nsew +flabel metal2 s 97996 953270 98052 953750 0 FreeSans 400 90 0 0 analog_io[22] +port 883 nsew +flabel metal2 s 46596 953270 46652 953750 0 FreeSans 400 90 0 0 analog_io[23] +port 882 nsew +flabel metal2 s 147674 -424 147730 56 0 FreeSans 400 90 0 0 analog_io[38] +port 867 nsew +flabel metal2 s 256274 -424 256330 56 0 FreeSans 400 90 0 0 analog_io[39] +port 866 nsew +flabel metal2 s 311074 -424 311130 56 0 FreeSans 400 90 0 0 analog_io[40] +port 865 nsew +flabel metal2 s 365874 -424 365930 56 0 FreeSans 400 90 0 0 analog_io[41] +port 864 nsew +flabel metal2 s 420674 -424 420730 56 0 FreeSans 400 90 0 0 analog_io[42] +port 863 nsew +flabel metal2 s 475474 -424 475530 56 0 FreeSans 400 90 0 0 analog_io[43] +port 862 nsew +flabel metal2 s 594556 953270 594612 953750 0 FreeSans 400 90 0 0 analog_noesd_io[15] +port 934 nsew +flabel metal2 s 492756 953270 492812 953750 0 FreeSans 400 90 0 0 analog_noesd_io[16] +port 933 nsew +flabel metal2 s 441356 953270 441412 953750 0 FreeSans 400 90 0 0 analog_noesd_io[17] +port 932 nsew +flabel metal2 s 352356 953270 352412 953750 0 FreeSans 400 90 0 0 analog_noesd_io[18] +port 931 nsew +flabel metal2 s 250556 953270 250612 953750 0 FreeSans 400 90 0 0 analog_noesd_io[19] +port 930 nsew +flabel metal2 s 198956 953270 199012 953750 0 FreeSans 400 90 0 0 analog_noesd_io[20] +port 929 nsew +flabel metal2 s 147556 953270 147612 953750 0 FreeSans 400 90 0 0 analog_noesd_io[21] +port 928 nsew +flabel metal2 s 96156 953270 96212 953750 0 FreeSans 400 90 0 0 analog_noesd_io[22] +port 927 nsew +flabel metal2 s 44756 953270 44812 953750 0 FreeSans 400 90 0 0 analog_noesd_io[23] +port 926 nsew +flabel metal2 s 149514 -424 149570 56 0 FreeSans 400 90 0 0 analog_noesd_io[38] +port 911 nsew +flabel metal2 s 258114 -424 258170 56 0 FreeSans 400 90 0 0 analog_noesd_io[39] +port 910 nsew +flabel metal2 s 312914 -424 312970 56 0 FreeSans 400 90 0 0 analog_noesd_io[40] +port 909 nsew +flabel metal2 s 367714 -424 367770 56 0 FreeSans 400 90 0 0 analog_noesd_io[41] +port 908 nsew +flabel metal2 s 422514 -424 422570 56 0 FreeSans 400 90 0 0 analog_noesd_io[42] +port 907 nsew +flabel metal2 s 477314 -424 477370 56 0 FreeSans 400 90 0 0 analog_noesd_io[43] +port 906 nsew +flabel metal3 s -424 141592 56 141663 0 FreeSans 400 0 0 0 gpio_vtrip_sel[37] +port 296 nsew +flabel metal3 633270 422812 633770 427463 0 FreeSans 3200 90 0 0 vccd1 +port 28 nsew +flabel metal3 633270 427763 633770 432563 0 FreeSans 3200 90 0 0 vssd1 +port 30 nsew +flabel metal3 633270 417723 633770 422512 0 FreeSans 3200 90 0 0 vssd1 +port 30 nsew +flabel metal3 s 633270 870611 633770 875273 0 FreeSans 3200 90 0 0 vssd1 +port 30 nsew +flabel metal3 s 633270 875563 633770 880363 0 FreeSans 3200 90 0 0 vccd1 +port 28 nsew +flabel metal3 s 633270 865523 633770 870312 0 FreeSans 3200 90 0 0 vccd1 +port 28 nsew +flabel metal3 s 633270 786384 633770 791164 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 776405 633770 781185 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 471784 633770 476564 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 461805 633770 466585 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 383584 633770 388364 0 FreeSans 3200 90 0 0 vssa1 +port 26 nsew +flabel metal3 s 633270 373605 633770 378385 0 FreeSans 3200 90 0 0 vssa1 +port 26 nsew +flabel metal3 s 543541 953270 548321 953770 0 FreeSans 3200 0 0 0 vssa1 +port 26 nsew +flabel metal3 s 533562 953270 538342 953770 0 FreeSans 3200 0 0 0 vssa1 +port 26 nsew +flabel metal3 301341 953270 306121 953770 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 291362 953270 296142 953770 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 -444 875053 56 879715 0 FreeSans 3200 90 0 0 vssd2 +port 31 nsew +flabel metal3 -444 880014 56 884803 0 FreeSans 3200 90 0 0 vccd2 +port 29 nsew +flabel metal3 -444 869963 56 874763 0 FreeSans 3200 90 0 0 vccd2 +port 29 nsew +flabel metal3 -444 837741 56 842521 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 827762 56 832542 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 795541 56 800321 0 FreeSans 3200 90 0 0 vssa2 +port 27 nsew +flabel metal3 -444 785562 56 790342 0 FreeSans 3200 90 0 0 vssa2 +port 27 nsew +flabel metal3 -444 450941 56 455721 0 FreeSans 3200 90 0 0 vdda2 +port 25 nsew +flabel metal3 -444 440962 56 445742 0 FreeSans 3200 90 0 0 vdda2 +port 25 nsew +flabel metal3 -444 403863 56 408514 0 FreeSans 3200 90 0 0 vccd2 +port 29 nsew +flabel metal3 -444 408814 56 413603 0 FreeSans 3200 90 0 0 vssd2 +port 31 nsew +flabel metal3 -444 398763 56 403563 0 FreeSans 3200 90 0 0 vssd2 +port 31 nsew +flabel metal3 -444 78141 56 82921 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 68162 56 72942 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 36014 56 40803 0 FreeSans 3200 90 0 0 vccd +port 20 nsew +flabel metal3 -444 25963 56 30763 0 FreeSans 3200 90 0 0 vccd +port 20 nsew +flabel metal3 46784 -443 51564 57 0 FreeSans 3200 0 0 0 vssa +port 23 nsew +flabel metal3 36805 -444 41585 56 0 FreeSans 3200 0 0 0 vssa +port 23 nsew +flabel metal3 209163 -444 213963 56 0 FreeSans 3200 0 0 0 vssd +port 21 nsew +flabel metal3 199283 -444 203912 56 0 FreeSans 3200 0 0 0 vssd +port 21 nsew +flabel metal3 536984 -444 541764 56 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 527005 -444 531785 56 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 580805 -444 585585 56 0 FreeSans 3200 0 0 0 vdda +port 22 nsew +flabel metal3 590784 -444 595564 56 0 FreeSans 3200 0 0 0 vdda +port 22 nsew +flabel comment s 107715 141850 108715 141850 0 FreeSans 1120000 60 0 0 example +flabel metal3 s 633270 736859 633750 736929 0 FreeSans 400 0 0 0 gpio_analog_en[12] +port 453 nsew +flabel metal3 s 633270 738147 633750 738217 0 FreeSans 400 0 0 0 gpio_analog_pol[12] +port 541 nsew +flabel metal3 s 633270 741183 633750 741253 0 FreeSans 400 0 0 0 gpio_analog_sel[12] +port 497 nsew +flabel metal3 s 633270 737503 633750 737573 0 FreeSans 400 0 0 0 gpio_dm0[12] +port 585 nsew +flabel metal3 s 633270 735663 633750 735733 0 FreeSans 400 0 0 0 gpio_dm1[12] +port 629 nsew +flabel metal3 s 633270 741827 633750 741897 0 FreeSans 400 0 0 0 gpio_dm2[12] +port 673 nsew +flabel metal3 s 633270 742471 633750 742541 0 FreeSans 400 0 0 0 gpio_holdover[12] +port 409 nsew +flabel metal3 s 633270 745507 633750 745577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[12] +port 277 nsew +flabel metal3 s 633270 738699 633750 738769 0 FreeSans 400 0 0 0 gpio_inp_dis[12] +port 233 nsew +flabel metal3 s 633270 746151 633750 746221 0 FreeSans 400 0 0 0 gpio_oeb[12] +port 189 nsew +flabel metal3 s 633270 743023 633750 743093 0 FreeSans 400 0 0 0 gpio_out[12] +port 145 nsew +flabel metal3 s 633270 733823 633750 733893 0 FreeSans 400 0 0 0 gpio_slow_sel[12] +port 365 nsew +flabel metal3 s 633270 744863 633750 744933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[12] +port 321 nsew +flabel metal3 s 633270 731983 633750 732053 0 FreeSans 400 0 0 0 gpio_in[12] +port 717 nsew +flabel metal3 s 633270 826059 633750 826129 0 FreeSans 400 0 0 0 gpio_analog_en[13] +port 452 nsew +flabel metal3 s 633270 827347 633750 827417 0 FreeSans 400 0 0 0 gpio_analog_pol[13] +port 540 nsew +flabel metal3 s 633270 830383 633750 830453 0 FreeSans 400 0 0 0 gpio_analog_sel[13] +port 496 nsew +flabel metal3 s 633270 826703 633750 826773 0 FreeSans 400 0 0 0 gpio_dm0[13] +port 584 nsew +flabel metal3 s 633270 824863 633750 824933 0 FreeSans 400 0 0 0 gpio_dm1[13] +port 628 nsew +flabel metal3 s 633270 831027 633750 831097 0 FreeSans 400 0 0 0 gpio_dm2[13] +port 672 nsew +flabel metal3 s 633270 831671 633750 831741 0 FreeSans 400 0 0 0 gpio_holdover[13] +port 408 nsew +flabel metal3 s 633270 834707 633750 834777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[13] +port 276 nsew +flabel metal3 s 633270 827899 633750 827969 0 FreeSans 400 0 0 0 gpio_inp_dis[13] +port 232 nsew +flabel metal3 s 633270 835351 633750 835421 0 FreeSans 400 0 0 0 gpio_oeb[13] +port 188 nsew +flabel metal3 s 633270 832223 633750 832293 0 FreeSans 400 0 0 0 gpio_out[13] +port 144 nsew +flabel metal3 s 633270 823023 633750 823093 0 FreeSans 400 0 0 0 gpio_slow_sel[13] +port 364 nsew +flabel metal3 s 633270 834063 633750 834133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[13] +port 320 nsew +flabel metal3 s 633270 821183 633750 821253 0 FreeSans 400 0 0 0 gpio_in[13] +port 716 nsew +flabel metal3 s 633270 915259 633750 915329 0 FreeSans 400 0 0 0 gpio_analog_en[14] +port 451 nsew +flabel metal3 s 633270 916547 633750 916617 0 FreeSans 400 0 0 0 gpio_analog_pol[14] +port 539 nsew +flabel metal3 s 633270 919583 633750 919653 0 FreeSans 400 0 0 0 gpio_analog_sel[14] +port 495 nsew +flabel metal3 s 633270 915903 633750 915973 0 FreeSans 400 0 0 0 gpio_dm0[14] +port 583 nsew +flabel metal3 s 633270 914063 633750 914133 0 FreeSans 400 0 0 0 gpio_dm1[14] +port 627 nsew +flabel metal3 s 633270 920227 633750 920297 0 FreeSans 400 0 0 0 gpio_dm2[14] +port 671 nsew +flabel metal3 s 633270 920871 633750 920941 0 FreeSans 400 0 0 0 gpio_holdover[14] +port 407 nsew +flabel metal3 s 633270 923907 633750 923977 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[14] +port 275 nsew +flabel metal3 s 633270 917099 633750 917169 0 FreeSans 400 0 0 0 gpio_inp_dis[14] +port 231 nsew +flabel metal3 s 633270 924551 633750 924621 0 FreeSans 400 0 0 0 gpio_oeb[14] +port 187 nsew +flabel metal3 s 633270 921423 633750 921493 0 FreeSans 400 0 0 0 gpio_out[14] +port 143 nsew +flabel metal3 s 633270 912223 633750 912293 0 FreeSans 400 0 0 0 gpio_slow_sel[14] +port 363 nsew +flabel metal3 s 633270 923263 633750 923333 0 FreeSans 400 0 0 0 gpio_vtrip_sel[14] +port 319 nsew +flabel metal3 s 633270 910383 633750 910453 0 FreeSans 400 0 0 0 gpio_in[14] +port 715 nsew +flabel metal3 s 633270 697471 633750 697541 0 FreeSans 400 0 0 0 gpio_holdover[11] +port 410 nsew +flabel metal3 s 633270 700507 633750 700577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[11] +port 278 nsew +flabel metal3 s 633270 693699 633750 693769 0 FreeSans 400 0 0 0 gpio_inp_dis[11] +port 234 nsew +flabel metal3 s 633270 701151 633750 701221 0 FreeSans 400 0 0 0 gpio_oeb[11] +port 190 nsew +flabel metal3 s 633270 698023 633750 698093 0 FreeSans 400 0 0 0 gpio_out[11] +port 146 nsew +flabel metal3 s 633270 688823 633750 688893 0 FreeSans 400 0 0 0 gpio_slow_sel[11] +port 366 nsew +flabel metal3 s 633270 699863 633750 699933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[11] +port 322 nsew +flabel metal3 s 633270 686983 633750 687053 0 FreeSans 400 0 0 0 gpio_in[11] +port 718 nsew +flabel metal3 s 633270 646859 633750 646929 0 FreeSans 400 0 0 0 gpio_analog_en[10] +port 455 nsew +flabel metal3 s 633270 648147 633750 648217 0 FreeSans 400 0 0 0 gpio_analog_pol[10] +port 543 nsew +flabel metal3 s 633270 651183 633750 651253 0 FreeSans 400 0 0 0 gpio_analog_sel[10] +port 499 nsew +flabel metal3 s 633270 647503 633750 647573 0 FreeSans 400 0 0 0 gpio_dm0[10] +port 587 nsew +flabel metal3 s 633270 645663 633750 645733 0 FreeSans 400 0 0 0 gpio_dm1[10] +port 631 nsew +flabel metal3 s 633270 651827 633750 651897 0 FreeSans 400 0 0 0 gpio_dm2[10] +port 675 nsew +flabel metal3 s 633270 652471 633750 652541 0 FreeSans 400 0 0 0 gpio_holdover[10] +port 411 nsew +flabel metal3 s 633270 655507 633750 655577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[10] +port 279 nsew +flabel metal3 s 633270 648699 633750 648769 0 FreeSans 400 0 0 0 gpio_inp_dis[10] +port 235 nsew +flabel metal3 s 633270 656151 633750 656221 0 FreeSans 400 0 0 0 gpio_oeb[10] +port 191 nsew +flabel metal3 s 633270 653023 633750 653093 0 FreeSans 400 0 0 0 gpio_out[10] +port 147 nsew +flabel metal3 s 633270 643823 633750 643893 0 FreeSans 400 0 0 0 gpio_slow_sel[10] +port 367 nsew +flabel metal3 s 633270 654863 633750 654933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[10] +port 323 nsew +flabel metal3 s 633270 641983 633750 642053 0 FreeSans 400 0 0 0 gpio_in[10] +port 719 nsew +flabel metal3 s 633270 511459 633750 511529 0 FreeSans 400 0 0 0 gpio_analog_en[7] +port 458 nsew +flabel metal3 s 633270 512747 633750 512817 0 FreeSans 400 0 0 0 gpio_analog_pol[7] +port 546 nsew +flabel metal3 s 633270 515783 633750 515853 0 FreeSans 400 0 0 0 gpio_analog_sel[7] +port 502 nsew +flabel metal3 s 633270 512103 633750 512173 0 FreeSans 400 0 0 0 gpio_dm0[7] +port 590 nsew +flabel metal3 s 633270 510263 633750 510333 0 FreeSans 400 0 0 0 gpio_dm1[7] +port 634 nsew +flabel metal3 s 633270 516427 633750 516497 0 FreeSans 400 0 0 0 gpio_dm2[7] +port 678 nsew +flabel metal3 s 633270 517071 633750 517141 0 FreeSans 400 0 0 0 gpio_holdover[7] +port 414 nsew +flabel metal3 s 633270 520107 633750 520177 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[7] +port 282 nsew +flabel metal3 s 633270 513299 633750 513369 0 FreeSans 400 0 0 0 gpio_inp_dis[7] +port 238 nsew +flabel metal3 s 633270 520751 633750 520821 0 FreeSans 400 0 0 0 gpio_oeb[7] +port 194 nsew +flabel metal3 s 633270 517623 633750 517693 0 FreeSans 400 0 0 0 gpio_out[7] +port 150 nsew +flabel metal3 s 633270 508423 633750 508493 0 FreeSans 400 0 0 0 gpio_slow_sel[7] +port 370 nsew +flabel metal3 s 633270 519463 633750 519533 0 FreeSans 400 0 0 0 gpio_vtrip_sel[7] +port 326 nsew +flabel metal3 s 633270 506583 633750 506653 0 FreeSans 400 0 0 0 gpio_in[7] +port 722 nsew +flabel metal3 s 633270 556659 633750 556729 0 FreeSans 400 0 0 0 gpio_analog_en[8] +port 457 nsew +flabel metal3 s 633270 557947 633750 558017 0 FreeSans 400 0 0 0 gpio_analog_pol[8] +port 545 nsew +flabel metal3 s 633270 560983 633750 561053 0 FreeSans 400 0 0 0 gpio_analog_sel[8] +port 501 nsew +flabel metal3 s 633270 557303 633750 557373 0 FreeSans 400 0 0 0 gpio_dm0[8] +port 589 nsew +flabel metal3 s 633270 555463 633750 555533 0 FreeSans 400 0 0 0 gpio_dm1[8] +port 633 nsew +flabel metal3 s 633270 561627 633750 561697 0 FreeSans 400 0 0 0 gpio_dm2[8] +port 677 nsew +flabel metal3 s 633270 562271 633750 562341 0 FreeSans 400 0 0 0 gpio_holdover[8] +port 413 nsew +flabel metal3 s 633270 565307 633750 565377 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[8] +port 281 nsew +flabel metal3 s 633270 558499 633750 558569 0 FreeSans 400 0 0 0 gpio_inp_dis[8] +port 237 nsew +flabel metal3 s 633270 565951 633750 566021 0 FreeSans 400 0 0 0 gpio_oeb[8] +port 193 nsew +flabel metal3 s 633270 562823 633750 562893 0 FreeSans 400 0 0 0 gpio_out[8] +port 149 nsew +flabel metal3 s 633270 553623 633750 553693 0 FreeSans 400 0 0 0 gpio_slow_sel[8] +port 369 nsew +flabel metal3 s 633270 564663 633750 564733 0 FreeSans 400 0 0 0 gpio_vtrip_sel[8] +port 325 nsew +flabel metal3 s 633270 551783 633750 551853 0 FreeSans 400 0 0 0 gpio_in[8] +port 721 nsew +flabel metal3 s 633270 601659 633750 601729 0 FreeSans 400 0 0 0 gpio_analog_en[9] +port 456 nsew +flabel metal3 s 633270 602947 633750 603017 0 FreeSans 400 0 0 0 gpio_analog_pol[9] +port 544 nsew +flabel metal3 s 633270 605983 633750 606053 0 FreeSans 400 0 0 0 gpio_analog_sel[9] +port 500 nsew +flabel metal3 s 633270 602303 633750 602373 0 FreeSans 400 0 0 0 gpio_dm0[9] +port 588 nsew +flabel metal3 s 633270 600463 633750 600533 0 FreeSans 400 0 0 0 gpio_dm1[9] +port 632 nsew +flabel metal3 s 633270 606627 633750 606697 0 FreeSans 400 0 0 0 gpio_dm2[9] +port 676 nsew +flabel metal3 s 633270 607271 633750 607341 0 FreeSans 400 0 0 0 gpio_holdover[9] +port 412 nsew +flabel metal3 s 633270 610307 633750 610377 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[9] +port 280 nsew +flabel metal3 s 633270 603499 633750 603569 0 FreeSans 400 0 0 0 gpio_inp_dis[9] +port 236 nsew +flabel metal3 s 633270 610951 633750 611021 0 FreeSans 400 0 0 0 gpio_oeb[9] +port 192 nsew +flabel metal3 s 633270 607823 633750 607893 0 FreeSans 400 0 0 0 gpio_out[9] +port 148 nsew +flabel metal3 s 633270 598623 633750 598693 0 FreeSans 400 0 0 0 gpio_slow_sel[9] +port 368 nsew +flabel metal3 s 633270 609663 633750 609733 0 FreeSans 400 0 0 0 gpio_vtrip_sel[9] +port 324 nsew +flabel metal3 s 633270 596783 633750 596853 0 FreeSans 400 0 0 0 gpio_in[9] +port 720 nsew +flabel metal3 s 633270 691859 633750 691929 0 FreeSans 400 0 0 0 gpio_analog_en[11] +port 454 nsew +flabel metal3 s 633270 693147 633750 693217 0 FreeSans 400 0 0 0 gpio_analog_pol[11] +port 542 nsew +flabel metal3 s 633270 696183 633750 696253 0 FreeSans 400 0 0 0 gpio_analog_sel[11] +port 498 nsew +flabel metal3 s 633270 692503 633750 692573 0 FreeSans 400 0 0 0 gpio_dm0[11] +port 586 nsew +flabel metal3 s 633270 690663 633750 690733 0 FreeSans 400 0 0 0 gpio_dm1[11] +port 630 nsew +flabel metal3 s 633270 696827 633750 696897 0 FreeSans 400 0 0 0 gpio_dm2[11] +port 674 nsew +flabel metal3 s 633270 244059 633750 244129 0 FreeSans 400 0 0 0 gpio_analog_en[4] +port 461 nsew +flabel metal3 s 633270 245347 633750 245417 0 FreeSans 400 0 0 0 gpio_analog_pol[4] +port 549 nsew +flabel metal3 s 633270 248383 633750 248453 0 FreeSans 400 0 0 0 gpio_analog_sel[4] +port 505 nsew +flabel metal3 s 633270 244703 633750 244773 0 FreeSans 400 0 0 0 gpio_dm0[4] +port 593 nsew +flabel metal3 s 633270 242863 633750 242933 0 FreeSans 400 0 0 0 gpio_dm1[4] +port 637 nsew +flabel metal3 s 633270 249027 633750 249097 0 FreeSans 400 0 0 0 gpio_dm2[4] +port 681 nsew +flabel metal3 s 633270 249671 633750 249741 0 FreeSans 400 0 0 0 gpio_holdover[4] +port 417 nsew +flabel metal3 s 633270 252707 633750 252777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[4] +port 285 nsew +flabel metal3 s 633270 245899 633750 245969 0 FreeSans 400 0 0 0 gpio_inp_dis[4] +port 241 nsew +flabel metal3 s 633270 253351 633750 253421 0 FreeSans 400 0 0 0 gpio_oeb[4] +port 197 nsew +flabel metal3 s 633270 250223 633750 250293 0 FreeSans 400 0 0 0 gpio_out[4] +port 153 nsew +flabel metal3 s 633270 241023 633750 241093 0 FreeSans 400 0 0 0 gpio_slow_sel[4] +port 373 nsew +flabel metal3 s 633270 252063 633750 252133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[4] +port 329 nsew +flabel metal3 s 633270 239183 633750 239253 0 FreeSans 400 0 0 0 gpio_in[4] +port 725 nsew +flabel metal3 s 633270 289059 633750 289129 0 FreeSans 400 0 0 0 gpio_analog_en[5] +port 460 nsew +flabel metal3 s 633270 290347 633750 290417 0 FreeSans 400 0 0 0 gpio_analog_pol[5] +port 548 nsew +flabel metal3 s 633270 293383 633750 293453 0 FreeSans 400 0 0 0 gpio_analog_sel[5] +port 504 nsew +flabel metal3 s 633270 289703 633750 289773 0 FreeSans 400 0 0 0 gpio_dm0[5] +port 592 nsew +flabel metal3 s 633270 287863 633750 287933 0 FreeSans 400 0 0 0 gpio_dm1[5] +port 636 nsew +flabel metal3 s 633270 294027 633750 294097 0 FreeSans 400 0 0 0 gpio_dm2[5] +port 680 nsew +flabel metal3 s 633270 294671 633750 294741 0 FreeSans 400 0 0 0 gpio_holdover[5] +port 416 nsew +flabel metal3 s 633270 297707 633750 297777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[5] +port 284 nsew +flabel metal3 s 633270 290899 633750 290969 0 FreeSans 400 0 0 0 gpio_inp_dis[5] +port 240 nsew +flabel metal3 s 633270 298351 633750 298421 0 FreeSans 400 0 0 0 gpio_oeb[5] +port 196 nsew +flabel metal3 s 633270 295223 633750 295293 0 FreeSans 400 0 0 0 gpio_out[5] +port 152 nsew +flabel metal3 s 633270 286023 633750 286093 0 FreeSans 400 0 0 0 gpio_slow_sel[5] +port 372 nsew +flabel metal3 s 633270 297063 633750 297133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[5] +port 328 nsew +flabel metal3 s 633270 284183 633750 284253 0 FreeSans 400 0 0 0 gpio_in[5] +port 724 nsew +flabel metal3 s 633270 334259 633750 334329 0 FreeSans 400 0 0 0 gpio_analog_en[6] +port 459 nsew +flabel metal3 s 633270 335547 633750 335617 0 FreeSans 400 0 0 0 gpio_analog_pol[6] +port 547 nsew +flabel metal3 s 633270 338583 633750 338653 0 FreeSans 400 0 0 0 gpio_analog_sel[6] +port 503 nsew +flabel metal3 s 633270 334903 633750 334973 0 FreeSans 400 0 0 0 gpio_dm0[6] +port 591 nsew +flabel metal3 s 633270 333063 633750 333133 0 FreeSans 400 0 0 0 gpio_dm1[6] +port 635 nsew +flabel metal3 s 633270 339227 633750 339297 0 FreeSans 400 0 0 0 gpio_dm2[6] +port 679 nsew +flabel metal3 s 633270 339871 633750 339941 0 FreeSans 400 0 0 0 gpio_holdover[6] +port 415 nsew +flabel metal3 s 633270 342907 633750 342977 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[6] +port 283 nsew +flabel metal3 s 633270 336099 633750 336169 0 FreeSans 400 0 0 0 gpio_inp_dis[6] +port 239 nsew +flabel metal3 s 633270 343551 633750 343621 0 FreeSans 400 0 0 0 gpio_oeb[6] +port 195 nsew +flabel metal3 s 633270 340423 633750 340493 0 FreeSans 400 0 0 0 gpio_out[6] +port 151 nsew +flabel metal3 s 633270 331223 633750 331293 0 FreeSans 400 0 0 0 gpio_slow_sel[6] +port 371 nsew +flabel metal3 s 633270 342263 633750 342333 0 FreeSans 400 0 0 0 gpio_vtrip_sel[6] +port 327 nsew +flabel metal3 s 633270 329383 633750 329453 0 FreeSans 400 0 0 0 gpio_in[6] +port 723 nsew +flabel metal3 s 633270 108859 633750 108929 0 FreeSans 400 0 0 0 gpio_analog_en[1] +port 464 nsew +flabel metal3 s 633270 110147 633750 110217 0 FreeSans 400 0 0 0 gpio_analog_pol[1] +port 552 nsew +flabel metal3 s 633270 113183 633750 113253 0 FreeSans 400 0 0 0 gpio_analog_sel[1] +port 508 nsew +flabel metal3 s 633270 109503 633750 109573 0 FreeSans 400 0 0 0 gpio_dm0[1] +port 596 nsew +flabel metal3 s 633270 107663 633750 107733 0 FreeSans 400 0 0 0 gpio_dm1[1] +port 640 nsew +flabel metal3 s 633270 113827 633750 113897 0 FreeSans 400 0 0 0 gpio_dm2[1] +port 684 nsew +flabel metal3 s 633270 114471 633750 114541 0 FreeSans 400 0 0 0 gpio_holdover[1] +port 420 nsew +flabel metal3 s 633270 117507 633750 117577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[1] +port 288 nsew +flabel metal3 s 633270 110699 633750 110769 0 FreeSans 400 0 0 0 gpio_inp_dis[1] +port 244 nsew +flabel metal3 s 633270 118151 633750 118221 0 FreeSans 400 0 0 0 gpio_oeb[1] +port 200 nsew +flabel metal3 s 633270 115023 633750 115093 0 FreeSans 400 0 0 0 gpio_out[1] +port 156 nsew +flabel metal3 s 633270 105823 633750 105893 0 FreeSans 400 0 0 0 gpio_slow_sel[1] +port 376 nsew +flabel metal3 s 633270 116863 633750 116933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[1] +port 332 nsew +flabel metal3 s 633270 103983 633750 104053 0 FreeSans 400 0 0 0 gpio_in[1] +port 728 nsew +flabel metal3 s 633270 153859 633750 153929 0 FreeSans 400 0 0 0 gpio_analog_en[2] +port 463 nsew +flabel metal3 s 633270 155147 633750 155217 0 FreeSans 400 0 0 0 gpio_analog_pol[2] +port 551 nsew +flabel metal3 s 633270 158183 633750 158253 0 FreeSans 400 0 0 0 gpio_analog_sel[2] +port 507 nsew +flabel metal3 s 633270 154503 633750 154573 0 FreeSans 400 0 0 0 gpio_dm0[2] +port 595 nsew +flabel metal3 s 633270 152663 633750 152733 0 FreeSans 400 0 0 0 gpio_dm1[2] +port 639 nsew +flabel metal3 s 633270 158827 633750 158897 0 FreeSans 400 0 0 0 gpio_dm2[2] +port 683 nsew +flabel metal3 s 633270 159471 633750 159541 0 FreeSans 400 0 0 0 gpio_holdover[2] +port 419 nsew +flabel metal3 s 633270 162507 633750 162577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[2] +port 287 nsew +flabel metal3 s 633270 155699 633750 155769 0 FreeSans 400 0 0 0 gpio_inp_dis[2] +port 243 nsew +flabel metal3 s 633270 163151 633750 163221 0 FreeSans 400 0 0 0 gpio_oeb[2] +port 199 nsew +flabel metal3 s 633270 160023 633750 160093 0 FreeSans 400 0 0 0 gpio_out[2] +port 155 nsew +flabel metal3 s 633270 150823 633750 150893 0 FreeSans 400 0 0 0 gpio_slow_sel[2] +port 375 nsew +flabel metal3 s 633270 161863 633750 161933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[2] +port 331 nsew +flabel metal3 s 633270 148983 633750 149053 0 FreeSans 400 0 0 0 gpio_in[2] +port 727 nsew +flabel metal3 s 633270 199059 633750 199129 0 FreeSans 400 0 0 0 gpio_analog_en[3] +port 462 nsew +flabel metal3 s 633270 200347 633750 200417 0 FreeSans 400 0 0 0 gpio_analog_pol[3] +port 550 nsew +flabel metal3 s 633270 203383 633750 203453 0 FreeSans 400 0 0 0 gpio_analog_sel[3] +port 506 nsew +flabel metal3 s 633270 197863 633750 197933 0 FreeSans 400 0 0 0 gpio_dm1[3] +port 638 nsew +flabel metal3 s 633270 204027 633750 204097 0 FreeSans 400 0 0 0 gpio_dm2[3] +port 682 nsew +flabel metal3 s 633270 199703 633750 199773 0 FreeSans 400 0 0 0 gpio_dm0[3] +port 594 nsew +flabel metal3 s 633270 204671 633750 204741 0 FreeSans 400 0 0 0 gpio_holdover[3] +port 418 nsew +flabel metal3 s 633270 207707 633750 207777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[3] +port 286 nsew +flabel metal3 s 633270 200899 633750 200969 0 FreeSans 400 0 0 0 gpio_inp_dis[3] +port 242 nsew +flabel metal3 s 633270 208351 633750 208421 0 FreeSans 400 0 0 0 gpio_oeb[3] +port 198 nsew +flabel metal3 s 633270 205223 633750 205293 0 FreeSans 400 0 0 0 gpio_out[3] +port 154 nsew +flabel metal3 s 633270 196023 633750 196093 0 FreeSans 400 0 0 0 gpio_slow_sel[3] +port 374 nsew +flabel metal3 s 633270 207063 633750 207133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[3] +port 330 nsew +flabel metal3 s 633270 63659 633750 63729 0 FreeSans 400 0 0 0 gpio_analog_en[0] +port 465 nsew +flabel metal3 s 633270 64947 633750 65017 0 FreeSans 400 0 0 0 gpio_analog_pol[0] +port 553 nsew +flabel metal3 s 633270 67983 633750 68053 0 FreeSans 400 0 0 0 gpio_analog_sel[0] +port 509 nsew +flabel metal3 s 633270 64303 633750 64373 0 FreeSans 400 0 0 0 gpio_dm0[0] +port 597 nsew +flabel metal3 s 633270 62463 633750 62533 0 FreeSans 400 0 0 0 gpio_dm1[0] +port 641 nsew +flabel metal3 s 633270 68627 633750 68697 0 FreeSans 400 0 0 0 gpio_dm2[0] +port 685 nsew +flabel metal3 s 633270 69271 633750 69341 0 FreeSans 400 0 0 0 gpio_holdover[0] +port 421 nsew +flabel metal3 s 633270 72307 633750 72377 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[0] +port 289 nsew +flabel metal3 s 633270 65499 633750 65569 0 FreeSans 400 0 0 0 gpio_inp_dis[0] +port 245 nsew +flabel metal3 s 633270 72951 633750 73021 0 FreeSans 400 0 0 0 gpio_oeb[0] +port 201 nsew +flabel metal3 s 633270 69823 633750 69893 0 FreeSans 400 0 0 0 gpio_out[0] +port 157 nsew +flabel metal3 s 633270 60623 633750 60693 0 FreeSans 400 0 0 0 gpio_slow_sel[0] +port 377 nsew +flabel metal3 s 633270 71663 633750 71733 0 FreeSans 400 0 0 0 gpio_vtrip_sel[0] +port 333 nsew +flabel metal3 s 633270 58783 633750 58853 0 FreeSans 400 0 0 0 gpio_in[0] +port 729 nsew +flabel metal3 s 633270 194183 633750 194253 0 FreeSans 400 0 0 0 gpio_in[3] +port 726 nsew +flabel metal3 633270 61267 633750 61337 0 FreeSans 400 0 0 0 analog_io[0] +port 905 nsew +flabel metal3 633270 63107 633750 63177 0 FreeSans 400 0 0 0 analog_noesd_io[0] +port 949 nsew +flabel metal3 633270 108307 633750 108377 0 FreeSans 400 0 0 0 analog_noesd_io[1] +port 948 nsew +flabel metal3 633270 106467 633750 106537 0 FreeSans 400 0 0 0 analog_io[1] +port 904 nsew +flabel metal3 633270 73503 633750 73573 0 FreeSans 400 0 0 0 gpio_in_h[0] +port 773 nsew +flabel metal3 633270 118703 633750 118773 0 FreeSans 400 0 0 0 gpio_in_h[1] +port 772 nsew +flabel metal3 633270 151467 633750 151537 0 FreeSans 400 0 0 0 analog_io[2] +port 903 nsew +flabel metal3 633270 153307 633750 153377 0 FreeSans 400 0 0 0 analog_noesd_io[2] +port 947 nsew +flabel metal3 633270 163703 633750 163773 0 FreeSans 400 0 0 0 gpio_in_h[2] +port 771 nsew +flabel metal3 633270 196667 633750 196737 0 FreeSans 400 0 0 0 analog_io[3] +port 902 nsew +flabel metal3 633270 198507 633750 198577 0 FreeSans 400 0 0 0 analog_noesd_io[3] +port 946 nsew +flabel metal3 633270 208903 633750 208973 0 FreeSans 400 0 0 0 gpio_in_h[3] +port 770 nsew +flabel metal3 633270 241667 633750 241737 0 FreeSans 400 0 0 0 analog_io[4] +port 901 nsew +flabel metal3 633270 243507 633750 243577 0 FreeSans 400 0 0 0 analog_noesd_io[4] +port 945 nsew +flabel metal3 633270 253903 633750 253973 0 FreeSans 400 0 0 0 gpio_in_h[4] +port 769 nsew +flabel metal3 633270 286667 633750 286737 0 FreeSans 400 0 0 0 analog_io[5] +port 900 nsew +flabel metal3 633270 288507 633750 288577 0 FreeSans 400 0 0 0 analog_noesd_io[5] +port 944 nsew +flabel metal3 633270 298903 633750 298973 0 FreeSans 400 0 0 0 gpio_in_h[5] +port 768 nsew +flabel metal3 633270 331867 633750 331937 0 FreeSans 400 0 0 0 analog_io[6] +port 899 nsew +flabel metal3 633270 333707 633750 333777 0 FreeSans 400 0 0 0 analog_noesd_io[6] +port 943 nsew +flabel metal3 633270 344103 633750 344173 0 FreeSans 400 0 0 0 gpio_in_h[6] +port 767 nsew +flabel metal3 s 633270 509067 633750 509137 0 FreeSans 400 0 0 0 analog_io[7] +port 898 nsew +flabel metal3 s 633270 510907 633750 510977 0 FreeSans 400 0 0 0 analog_noesd_io[7] +port 942 nsew +flabel metal3 s 633270 521303 633750 521373 0 FreeSans 400 0 0 0 gpio_in_h[7] +port 766 nsew +flabel metal3 s 633270 554267 633750 554337 0 FreeSans 400 0 0 0 analog_io[8] +port 897 nsew +flabel metal3 s 633270 556107 633750 556177 0 FreeSans 400 0 0 0 analog_noesd_io[8] +port 941 nsew +flabel metal3 s 633270 566503 633750 566573 0 FreeSans 400 0 0 0 gpio_in_h[8] +port 765 nsew +flabel metal3 s 633270 599267 633750 599337 0 FreeSans 400 0 0 0 analog_io[9] +port 896 nsew +flabel metal3 s 633270 601107 633750 601177 0 FreeSans 400 0 0 0 analog_noesd_io[9] +port 940 nsew +flabel metal3 s 633270 611503 633750 611573 0 FreeSans 400 0 0 0 gpio_in_h[9] +port 764 nsew +flabel metal3 s 633270 644467 633750 644537 0 FreeSans 400 0 0 0 analog_io[10] +port 895 nsew +flabel metal3 s 633270 646307 633750 646377 0 FreeSans 400 0 0 0 analog_noesd_io[10] +port 939 nsew +flabel metal3 s 633270 656703 633750 656773 0 FreeSans 400 0 0 0 gpio_in_h[10] +port 763 nsew +flabel metal3 s 633270 689467 633750 689537 0 FreeSans 400 0 0 0 analog_io[11] +port 894 nsew +flabel metal3 s 633270 691307 633750 691377 0 FreeSans 400 0 0 0 analog_noesd_io[11] +port 938 nsew +flabel metal3 s 633270 701703 633750 701773 0 FreeSans 400 0 0 0 gpio_in_h[11] +port 762 nsew +flabel metal3 s 633270 746703 633750 746773 0 FreeSans 400 0 0 0 gpio_in_h[12] +port 761 nsew +flabel metal3 s 633270 835903 633750 835973 0 FreeSans 400 0 0 0 gpio_in_h[13] +port 760 nsew +flabel metal3 s 633270 925103 633750 925173 0 FreeSans 400 0 0 0 gpio_in_h[14] +port 759 nsew +flabel metal3 s 633270 734467 633750 734537 0 FreeSans 400 0 0 0 analog_io[12] +port 893 nsew +flabel metal3 s 633270 823667 633750 823737 0 FreeSans 400 0 0 0 analog_io[13] +port 892 nsew +flabel metal3 s 633270 912867 633750 912937 0 FreeSans 400 0 0 0 analog_io[14] +port 891 nsew +flabel metal3 s 633270 736307 633750 736377 0 FreeSans 400 0 0 0 analog_noesd_io[12] +port 937 nsew +flabel metal3 s 633270 825507 633750 825577 0 FreeSans 400 0 0 0 analog_noesd_io[13] +port 936 nsew +flabel metal3 s 633270 914707 633750 914777 0 FreeSans 400 0 0 0 analog_noesd_io[14] +port 935 nsew +flabel metal3 s -424 922197 56 922267 0 FreeSans 400 0 0 0 gpio_analog_en[24] +port 441 nsew +flabel metal3 s -424 920909 56 920979 0 FreeSans 400 0 0 0 gpio_analog_pol[24] +port 529 nsew +flabel metal3 s -424 917873 56 917943 0 FreeSans 400 0 0 0 gpio_analog_sel[24] +port 485 nsew +flabel metal3 s -424 921553 56 921623 0 FreeSans 400 0 0 0 gpio_dm0[24] +port 573 nsew +flabel metal3 s -424 923393 56 923463 0 FreeSans 400 0 0 0 gpio_dm1[24] +port 617 nsew +flabel metal3 s -424 917229 56 917299 0 FreeSans 400 0 0 0 gpio_dm2[24] +port 661 nsew +flabel metal3 s -424 916585 56 916655 0 FreeSans 400 0 0 0 gpio_holdover[24] +port 397 nsew +flabel metal3 s -424 913549 56 913619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[24] +port 265 nsew +flabel metal3 s -424 920357 56 920427 0 FreeSans 400 0 0 0 gpio_inp_dis[24] +port 221 nsew +flabel metal3 s -424 912905 56 912975 0 FreeSans 400 0 0 0 gpio_oeb[24] +port 177 nsew +flabel metal3 s -424 916033 56 916103 0 FreeSans 400 0 0 0 gpio_out[24] +port 133 nsew +flabel metal3 s -424 925233 56 925303 0 FreeSans 400 0 0 0 gpio_slow_sel[24] +port 353 nsew +flabel metal3 s -424 914193 56 914263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[24] +port 309 nsew +flabel metal3 s -424 927073 56 927143 0 FreeSans 400 0 0 0 gpio_in[24] +port 705 nsew +flabel metal3 s -424 912353 56 912423 0 FreeSans 400 0 0 0 gpio_in_h[24] +port 749 nsew +flabel metal3 s -424 924589 56 924659 0 FreeSans 400 0 0 0 analog_io[24] +port 881 nsew +flabel metal3 s -424 922749 56 922819 0 FreeSans 400 0 0 0 analog_noesd_io[24] +port 925 nsew +flabel metal3 s -424 752397 56 752467 0 FreeSans 400 0 0 0 gpio_analog_en[25] +port 440 nsew +flabel metal3 s -424 751109 56 751179 0 FreeSans 400 0 0 0 gpio_analog_pol[25] +port 528 nsew +flabel metal3 s -424 748073 56 748143 0 FreeSans 400 0 0 0 gpio_analog_sel[25] +port 484 nsew +flabel metal3 s -424 751753 56 751823 0 FreeSans 400 0 0 0 gpio_dm0[25] +port 572 nsew +flabel metal3 s -424 753593 56 753663 0 FreeSans 400 0 0 0 gpio_dm1[25] +port 616 nsew +flabel metal3 s -424 747429 56 747499 0 FreeSans 400 0 0 0 gpio_dm2[25] +port 660 nsew +flabel metal3 s -424 746785 56 746855 0 FreeSans 400 0 0 0 gpio_holdover[25] +port 396 nsew +flabel metal3 s -424 743749 56 743819 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[25] +port 264 nsew +flabel metal3 s -424 750557 56 750627 0 FreeSans 400 0 0 0 gpio_inp_dis[25] +port 220 nsew +flabel metal3 s -424 743105 56 743175 0 FreeSans 400 0 0 0 gpio_oeb[25] +port 176 nsew +flabel metal3 s -424 746233 56 746303 0 FreeSans 400 0 0 0 gpio_out[25] +port 132 nsew +flabel metal3 s -424 755433 56 755503 0 FreeSans 400 0 0 0 gpio_slow_sel[25] +port 352 nsew +flabel metal3 s -424 757273 56 757343 0 FreeSans 400 0 0 0 gpio_in[25] +port 704 nsew +flabel metal3 s -424 535753 56 535823 0 FreeSans 400 0 0 0 gpio_dm0[30] +port 567 nsew +flabel metal3 s -424 537593 56 537663 0 FreeSans 400 0 0 0 gpio_dm1[30] +port 611 nsew +flabel metal3 s -424 531429 56 531499 0 FreeSans 400 0 0 0 gpio_dm2[30] +port 655 nsew +flabel metal3 s -424 530785 56 530855 0 FreeSans 400 0 0 0 gpio_holdover[30] +port 391 nsew +flabel metal3 s -424 527749 56 527819 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[30] +port 259 nsew +flabel metal3 s -424 534557 56 534627 0 FreeSans 400 0 0 0 gpio_inp_dis[30] +port 215 nsew +flabel metal3 s -424 527105 56 527175 0 FreeSans 400 0 0 0 gpio_oeb[30] +port 171 nsew +flabel metal3 s -424 530233 56 530303 0 FreeSans 400 0 0 0 gpio_out[30] +port 127 nsew +flabel metal3 s -424 539433 56 539503 0 FreeSans 400 0 0 0 gpio_slow_sel[30] +port 347 nsew +flabel metal3 s -424 528393 56 528463 0 FreeSans 400 0 0 0 gpio_vtrip_sel[30] +port 303 nsew +flabel metal3 s -424 541273 56 541343 0 FreeSans 400 0 0 0 gpio_in[30] +port 699 nsew +flabel metal3 s -424 493197 56 493267 0 FreeSans 400 0 0 0 gpio_analog_en[31] +port 434 nsew +flabel metal3 s -424 491909 56 491979 0 FreeSans 400 0 0 0 gpio_analog_pol[31] +port 522 nsew +flabel metal3 s -424 488873 56 488943 0 FreeSans 400 0 0 0 gpio_analog_sel[31] +port 478 nsew +flabel metal3 s -424 492553 56 492623 0 FreeSans 400 0 0 0 gpio_dm0[31] +port 566 nsew +flabel metal3 s -424 494393 56 494463 0 FreeSans 400 0 0 0 gpio_dm1[31] +port 610 nsew +flabel metal3 s -424 488229 56 488299 0 FreeSans 400 0 0 0 gpio_dm2[31] +port 654 nsew +flabel metal3 s -424 487585 56 487655 0 FreeSans 400 0 0 0 gpio_holdover[31] +port 390 nsew +flabel metal3 s -424 484549 56 484619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[31] +port 258 nsew +flabel metal3 s -424 491357 56 491427 0 FreeSans 400 0 0 0 gpio_inp_dis[31] +port 214 nsew +flabel metal3 s -424 483905 56 483975 0 FreeSans 400 0 0 0 gpio_oeb[31] +port 170 nsew +flabel metal3 s -424 487033 56 487103 0 FreeSans 400 0 0 0 gpio_out[31] +port 126 nsew +flabel metal3 s -424 496233 56 496303 0 FreeSans 400 0 0 0 gpio_slow_sel[31] +port 346 nsew +flabel metal3 s -424 485193 56 485263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[31] +port 302 nsew +flabel metal3 s -424 498073 56 498143 0 FreeSans 400 0 0 0 gpio_in[31] +port 698 nsew +flabel metal3 s -424 709197 56 709267 0 FreeSans 400 0 0 0 gpio_analog_en[26] +port 439 nsew +flabel metal3 s -424 707909 56 707979 0 FreeSans 400 0 0 0 gpio_analog_pol[26] +port 527 nsew +flabel metal3 s -424 704873 56 704943 0 FreeSans 400 0 0 0 gpio_analog_sel[26] +port 483 nsew +flabel metal3 s -424 708553 56 708623 0 FreeSans 400 0 0 0 gpio_dm0[26] +port 571 nsew +flabel metal3 s -424 710393 56 710463 0 FreeSans 400 0 0 0 gpio_dm1[26] +port 615 nsew +flabel metal3 s -424 704229 56 704299 0 FreeSans 400 0 0 0 gpio_dm2[26] +port 659 nsew +flabel metal3 s -424 703585 56 703655 0 FreeSans 400 0 0 0 gpio_holdover[26] +port 395 nsew +flabel metal3 s -424 700549 56 700619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[26] +port 263 nsew +flabel metal3 s -424 707357 56 707427 0 FreeSans 400 0 0 0 gpio_inp_dis[26] +port 219 nsew +flabel metal3 s -424 699905 56 699975 0 FreeSans 400 0 0 0 gpio_oeb[26] +port 175 nsew +flabel metal3 s -424 703033 56 703103 0 FreeSans 400 0 0 0 gpio_out[26] +port 131 nsew +flabel metal3 s -424 712233 56 712303 0 FreeSans 400 0 0 0 gpio_slow_sel[26] +port 351 nsew +flabel metal3 s -424 701193 56 701263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[26] +port 307 nsew +flabel metal3 s -424 714073 56 714143 0 FreeSans 400 0 0 0 gpio_in[26] +port 703 nsew +flabel metal3 s -424 665997 56 666067 0 FreeSans 400 0 0 0 gpio_analog_en[27] +port 438 nsew +flabel metal3 s -424 664709 56 664779 0 FreeSans 400 0 0 0 gpio_analog_pol[27] +port 526 nsew +flabel metal3 s -424 661673 56 661743 0 FreeSans 400 0 0 0 gpio_analog_sel[27] +port 482 nsew +flabel metal3 s -424 665353 56 665423 0 FreeSans 400 0 0 0 gpio_dm0[27] +port 570 nsew +flabel metal3 s -424 667193 56 667263 0 FreeSans 400 0 0 0 gpio_dm1[27] +port 614 nsew +flabel metal3 s -424 661029 56 661099 0 FreeSans 400 0 0 0 gpio_dm2[27] +port 658 nsew +flabel metal3 s -424 660385 56 660455 0 FreeSans 400 0 0 0 gpio_holdover[27] +port 394 nsew +flabel metal3 s -424 657349 56 657419 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[27] +port 262 nsew +flabel metal3 s -424 664157 56 664227 0 FreeSans 400 0 0 0 gpio_inp_dis[27] +port 218 nsew +flabel metal3 s -424 656705 56 656775 0 FreeSans 400 0 0 0 gpio_oeb[27] +port 174 nsew +flabel metal3 s -424 659833 56 659903 0 FreeSans 400 0 0 0 gpio_out[27] +port 130 nsew +flabel metal3 s -424 669033 56 669103 0 FreeSans 400 0 0 0 gpio_slow_sel[27] +port 350 nsew +flabel metal3 s -424 657993 56 658063 0 FreeSans 400 0 0 0 gpio_vtrip_sel[27] +port 306 nsew +flabel metal3 s -424 670873 56 670943 0 FreeSans 400 0 0 0 gpio_in[27] +port 702 nsew +flabel metal3 s -424 622797 56 622867 0 FreeSans 400 0 0 0 gpio_analog_en[28] +port 437 nsew +flabel metal3 s -424 621509 56 621579 0 FreeSans 400 0 0 0 gpio_analog_pol[28] +port 525 nsew +flabel metal3 s -424 618473 56 618543 0 FreeSans 400 0 0 0 gpio_analog_sel[28] +port 481 nsew +flabel metal3 s -424 622153 56 622223 0 FreeSans 400 0 0 0 gpio_dm0[28] +port 569 nsew +flabel metal3 s -424 623993 56 624063 0 FreeSans 400 0 0 0 gpio_dm1[28] +port 613 nsew +flabel metal3 s -424 617829 56 617899 0 FreeSans 400 0 0 0 gpio_dm2[28] +port 657 nsew +flabel metal3 s -424 617185 56 617255 0 FreeSans 400 0 0 0 gpio_holdover[28] +port 393 nsew +flabel metal3 s -424 614149 56 614219 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[28] +port 261 nsew +flabel metal3 s -424 620957 56 621027 0 FreeSans 400 0 0 0 gpio_inp_dis[28] +port 217 nsew +flabel metal3 s -424 613505 56 613575 0 FreeSans 400 0 0 0 gpio_oeb[28] +port 173 nsew +flabel metal3 s -424 616633 56 616703 0 FreeSans 400 0 0 0 gpio_out[28] +port 129 nsew +flabel metal3 s -424 625833 56 625903 0 FreeSans 400 0 0 0 gpio_slow_sel[28] +port 349 nsew +flabel metal3 s -424 614793 56 614863 0 FreeSans 400 0 0 0 gpio_vtrip_sel[28] +port 305 nsew +flabel metal3 s -424 627673 56 627743 0 FreeSans 400 0 0 0 gpio_in[28] +port 701 nsew +flabel metal3 s -424 579597 56 579667 0 FreeSans 400 0 0 0 gpio_analog_en[29] +port 436 nsew +flabel metal3 s -424 578309 56 578379 0 FreeSans 400 0 0 0 gpio_analog_pol[29] +port 524 nsew +flabel metal3 s -424 575273 56 575343 0 FreeSans 400 0 0 0 gpio_analog_sel[29] +port 480 nsew +flabel metal3 s -424 578953 56 579023 0 FreeSans 400 0 0 0 gpio_dm0[29] +port 568 nsew +flabel metal3 s -424 580793 56 580863 0 FreeSans 400 0 0 0 gpio_dm1[29] +port 612 nsew +flabel metal3 s -424 574629 56 574699 0 FreeSans 400 0 0 0 gpio_dm2[29] +port 656 nsew +flabel metal3 s -424 573985 56 574055 0 FreeSans 400 0 0 0 gpio_holdover[29] +port 392 nsew +flabel metal3 s -424 570949 56 571019 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[29] +port 260 nsew +flabel metal3 s -424 577757 56 577827 0 FreeSans 400 0 0 0 gpio_inp_dis[29] +port 216 nsew +flabel metal3 s -424 570305 56 570375 0 FreeSans 400 0 0 0 gpio_oeb[29] +port 172 nsew +flabel metal3 s -424 573433 56 573503 0 FreeSans 400 0 0 0 gpio_out[29] +port 128 nsew +flabel metal3 s -424 582633 56 582703 0 FreeSans 400 0 0 0 gpio_slow_sel[29] +port 348 nsew +flabel metal3 s -424 571593 56 571663 0 FreeSans 400 0 0 0 gpio_vtrip_sel[29] +port 304 nsew +flabel metal3 s -424 584473 56 584543 0 FreeSans 400 0 0 0 gpio_in[29] +port 700 nsew +flabel metal3 s -424 536397 56 536467 0 FreeSans 400 0 0 0 gpio_analog_en[30] +port 435 nsew +flabel metal3 s -424 535109 56 535179 0 FreeSans 400 0 0 0 gpio_analog_pol[30] +port 523 nsew +flabel metal3 s -424 532073 56 532143 0 FreeSans 400 0 0 0 gpio_analog_sel[30] +port 479 nsew +flabel metal3 s -424 193993 56 194064 0 FreeSans 400 0 0 0 gpio_dm1[36] +port 605 nsew +flabel metal3 s -424 187829 56 187900 0 FreeSans 400 0 0 0 gpio_dm2[36] +port 649 nsew +flabel metal3 s -424 187185 56 187256 0 FreeSans 400 0 0 0 gpio_holdover[36] +port 385 nsew +flabel metal3 s -424 184149 56 184220 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[36] +port 253 nsew +flabel metal3 s -424 190957 56 191028 0 FreeSans 400 0 0 0 gpio_inp_dis[36] +port 209 nsew +flabel metal3 s -424 183505 56 183576 0 FreeSans 400 0 0 0 gpio_oeb[36] +port 165 nsew +flabel metal3 s -424 186633 56 186704 0 FreeSans 400 0 0 0 gpio_out[36] +port 121 nsew +flabel metal3 s -424 195833 56 195904 0 FreeSans 400 0 0 0 gpio_slow_sel[36] +port 341 nsew +flabel metal3 s -424 184793 56 184864 0 FreeSans 400 0 0 0 gpio_vtrip_sel[36] +port 297 nsew +flabel metal3 s -424 197673 56 197744 0 FreeSans 400 0 0 0 gpio_in[36] +port 693 nsew +flabel metal3 s -424 149597 56 149668 0 FreeSans 400 0 0 0 gpio_analog_en[37] +port 428 nsew +flabel metal3 s -424 148309 56 148380 0 FreeSans 400 0 0 0 gpio_analog_pol[37] +port 516 nsew +flabel metal3 s -424 145273 56 145344 0 FreeSans 400 0 0 0 gpio_analog_sel[37] +port 472 nsew +flabel metal3 s -424 148953 56 149024 0 FreeSans 400 0 0 0 gpio_dm0[37] +port 560 nsew +flabel metal3 s -424 150793 56 150864 0 FreeSans 400 0 0 0 gpio_dm1[37] +port 604 nsew +flabel metal3 s -424 144629 56 144700 0 FreeSans 400 0 0 0 gpio_dm2[37] +port 648 nsew +flabel metal3 s -424 143985 56 144056 0 FreeSans 400 0 0 0 gpio_holdover[37] +port 384 nsew +flabel metal3 s -424 140949 56 141020 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[37] +port 252 nsew +flabel metal3 s -424 140305 56 140376 0 FreeSans 400 0 0 0 gpio_oeb[37] +port 164 nsew +flabel metal3 s -424 143433 56 143504 0 FreeSans 400 0 0 0 gpio_out[37] +port 120 nsew +flabel metal3 s -424 152633 56 152704 0 FreeSans 400 0 0 0 gpio_slow_sel[37] +port 340 nsew +flabel metal3 s -424 154473 56 154544 0 FreeSans 400 0 0 0 gpio_in[37] +port 692 nsew +flabel metal3 s -424 365597 56 365667 0 FreeSans 400 0 0 0 gpio_analog_en[32] +port 433 nsew +flabel metal3 s -424 364309 56 364379 0 FreeSans 400 0 0 0 gpio_analog_pol[32] +port 521 nsew +flabel metal3 s -424 361273 56 361343 0 FreeSans 400 0 0 0 gpio_analog_sel[32] +port 477 nsew +flabel metal3 s -424 364953 56 365023 0 FreeSans 400 0 0 0 gpio_dm0[32] +port 565 nsew +flabel metal3 s -424 366793 56 366863 0 FreeSans 400 0 0 0 gpio_dm1[32] +port 609 nsew +flabel metal3 s -424 360629 56 360699 0 FreeSans 400 0 0 0 gpio_dm2[32] +port 653 nsew +flabel metal3 s -424 359985 56 360055 0 FreeSans 400 0 0 0 gpio_holdover[32] +port 389 nsew +flabel metal3 s -424 356949 56 357019 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[32] +port 257 nsew +flabel metal3 s -424 363757 56 363827 0 FreeSans 400 0 0 0 gpio_inp_dis[32] +port 213 nsew +flabel metal3 s -424 356305 56 356375 0 FreeSans 400 0 0 0 gpio_oeb[32] +port 169 nsew +flabel metal3 s -424 359433 56 359503 0 FreeSans 400 0 0 0 gpio_out[32] +port 125 nsew +flabel metal3 s -424 368633 56 368703 0 FreeSans 400 0 0 0 gpio_slow_sel[32] +port 345 nsew +flabel metal3 s -424 357593 56 357663 0 FreeSans 400 0 0 0 gpio_vtrip_sel[32] +port 301 nsew +flabel metal3 s -424 370473 56 370543 0 FreeSans 400 0 0 0 gpio_in[32] +port 697 nsew +flabel metal3 s -424 322397 56 322467 0 FreeSans 400 0 0 0 gpio_analog_en[33] +port 432 nsew +flabel metal3 s -424 318073 56 318143 0 FreeSans 400 0 0 0 gpio_analog_sel[33] +port 476 nsew +flabel metal3 s -424 323593 56 323663 0 FreeSans 400 0 0 0 gpio_dm1[33] +port 608 nsew +flabel metal3 s -424 317429 56 317499 0 FreeSans 400 0 0 0 gpio_dm2[33] +port 652 nsew +flabel metal3 s -424 321753 56 321823 0 FreeSans 400 0 0 0 gpio_dm0[33] +port 564 nsew +flabel metal3 s -424 316785 56 316855 0 FreeSans 400 0 0 0 gpio_holdover[33] +port 388 nsew +flabel metal3 s -424 313749 56 313819 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[33] +port 256 nsew +flabel metal3 s -424 320557 56 320627 0 FreeSans 400 0 0 0 gpio_inp_dis[33] +port 212 nsew +flabel metal3 s -424 313105 56 313175 0 FreeSans 400 0 0 0 gpio_oeb[33] +port 168 nsew +flabel metal3 s -424 316233 56 316303 0 FreeSans 400 0 0 0 gpio_out[33] +port 124 nsew +flabel metal3 s -424 325433 56 325503 0 FreeSans 400 0 0 0 gpio_slow_sel[33] +port 344 nsew +flabel metal3 s -424 314393 56 314463 0 FreeSans 400 0 0 0 gpio_vtrip_sel[33] +port 300 nsew +flabel metal3 s -424 327273 56 327343 0 FreeSans 400 0 0 0 gpio_in[33] +port 696 nsew +flabel metal3 s -424 279197 56 279267 0 FreeSans 400 0 0 0 gpio_analog_en[34] +port 431 nsew +flabel metal3 s -424 277909 56 277979 0 FreeSans 400 0 0 0 gpio_analog_pol[34] +port 519 nsew +flabel metal3 s -424 274873 56 274943 0 FreeSans 400 0 0 0 gpio_analog_sel[34] +port 475 nsew +flabel metal3 s -424 278553 56 278623 0 FreeSans 400 0 0 0 gpio_dm0[34] +port 563 nsew +flabel metal3 s -424 280393 56 280463 0 FreeSans 400 0 0 0 gpio_dm1[34] +port 607 nsew +flabel metal3 s -424 274229 56 274299 0 FreeSans 400 0 0 0 gpio_dm2[34] +port 651 nsew +flabel metal3 s -424 273585 56 273655 0 FreeSans 400 0 0 0 gpio_holdover[34] +port 387 nsew +flabel metal3 s -424 270549 56 270619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[34] +port 255 nsew +flabel metal3 s -424 277357 56 277427 0 FreeSans 400 0 0 0 gpio_inp_dis[34] +port 211 nsew +flabel metal3 s -424 269905 56 269975 0 FreeSans 400 0 0 0 gpio_oeb[34] +port 167 nsew +flabel metal3 s -424 273033 56 273103 0 FreeSans 400 0 0 0 gpio_out[34] +port 123 nsew +flabel metal3 s -424 282233 56 282303 0 FreeSans 400 0 0 0 gpio_slow_sel[34] +port 343 nsew +flabel metal3 s -424 271193 56 271263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[34] +port 299 nsew +flabel metal3 s -424 284073 56 284143 0 FreeSans 400 0 0 0 gpio_in[34] +port 695 nsew +flabel metal3 s -424 235997 56 236067 0 FreeSans 400 0 0 0 gpio_analog_en[35] +port 430 nsew +flabel metal3 s -424 234709 56 234779 0 FreeSans 400 0 0 0 gpio_analog_pol[35] +port 518 nsew +flabel metal3 s -424 231673 56 231743 0 FreeSans 400 0 0 0 gpio_analog_sel[35] +port 474 nsew +flabel metal3 s -424 235353 56 235423 0 FreeSans 400 0 0 0 gpio_dm0[35] +port 562 nsew +flabel metal3 s -424 237193 56 237263 0 FreeSans 400 0 0 0 gpio_dm1[35] +port 606 nsew +flabel metal3 s -424 231029 56 231099 0 FreeSans 400 0 0 0 gpio_dm2[35] +port 650 nsew +flabel metal3 s -424 230385 56 230455 0 FreeSans 400 0 0 0 gpio_holdover[35] +port 386 nsew +flabel metal3 s -424 227349 56 227419 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[35] +port 254 nsew +flabel metal3 s -424 234157 56 234227 0 FreeSans 400 0 0 0 gpio_inp_dis[35] +port 210 nsew +flabel metal3 s -424 226705 56 226775 0 FreeSans 400 0 0 0 gpio_oeb[35] +port 166 nsew +flabel metal3 s -424 229833 56 229903 0 FreeSans 400 0 0 0 gpio_out[35] +port 122 nsew +flabel metal3 s -424 239033 56 239103 0 FreeSans 400 0 0 0 gpio_slow_sel[35] +port 342 nsew +flabel metal3 s -424 227993 56 228063 0 FreeSans 400 0 0 0 gpio_vtrip_sel[35] +port 298 nsew +flabel metal3 s -424 240873 56 240943 0 FreeSans 400 0 0 0 gpio_in[35] +port 694 nsew +flabel metal3 s -424 192797 56 192868 0 FreeSans 400 0 0 0 gpio_analog_en[36] +port 429 nsew +flabel metal3 s -424 191509 56 191580 0 FreeSans 400 0 0 0 gpio_analog_pol[36] +port 517 nsew +flabel metal3 s -424 188473 56 188544 0 FreeSans 400 0 0 0 gpio_analog_sel[36] +port 473 nsew +flabel metal3 s -424 192153 56 192224 0 FreeSans 400 0 0 0 gpio_dm0[36] +port 561 nsew +flabel metal3 s -424 147757 56 147828 0 FreeSans 400 0 0 0 gpio_inp_dis[37] +port 208 nsew +flabel metal3 s -424 742553 56 742623 0 FreeSans 400 0 0 0 gpio_in_h[25] +port 748 nsew +flabel metal3 s -424 699353 56 699423 0 FreeSans 400 0 0 0 gpio_in_h[26] +port 747 nsew +flabel metal3 s -424 656153 56 656223 0 FreeSans 400 0 0 0 gpio_in_h[27] +port 746 nsew +flabel metal3 s -424 612953 56 613023 0 FreeSans 400 0 0 0 gpio_in_h[28] +port 745 nsew +flabel metal3 s -424 569753 56 569823 0 FreeSans 400 0 0 0 gpio_in_h[29] +port 744 nsew +flabel metal3 s -424 526553 56 526623 0 FreeSans 400 0 0 0 gpio_in_h[30] +port 743 nsew +flabel metal3 s -424 483353 56 483423 0 FreeSans 400 0 0 0 gpio_in_h[31] +port 742 nsew +flabel metal3 s -424 355753 56 355823 0 FreeSans 400 0 0 0 gpio_in_h[32] +port 741 nsew +flabel metal3 s -424 312553 56 312623 0 FreeSans 400 0 0 0 gpio_in_h[33] +port 740 nsew +flabel metal3 s -424 269353 56 269423 0 FreeSans 400 0 0 0 gpio_in_h[34] +port 739 nsew +flabel metal3 s -424 226153 56 226223 0 FreeSans 400 0 0 0 gpio_in_h[35] +port 738 nsew +flabel metal3 s -424 182953 56 183024 0 FreeSans 400 0 0 0 gpio_in_h[36] +port 737 nsew +flabel metal3 s -424 139753 56 139824 0 FreeSans 400 0 0 0 gpio_in_h[37] +port 736 nsew +flabel metal3 s -424 754789 56 754859 0 FreeSans 400 0 0 0 analog_io[25] +port 880 nsew +flabel metal3 s -424 711589 56 711659 0 FreeSans 400 0 0 0 analog_io[26] +port 879 nsew +flabel metal3 s -424 668389 56 668459 0 FreeSans 400 0 0 0 analog_io[27] +port 878 nsew +flabel metal3 s -424 625189 56 625259 0 FreeSans 400 0 0 0 analog_io[28] +port 877 nsew +flabel metal3 s -424 581989 56 582059 0 FreeSans 400 0 0 0 analog_io[29] +port 876 nsew +flabel metal3 s -424 538789 56 538859 0 FreeSans 400 0 0 0 analog_io[30] +port 875 nsew +flabel metal3 s -424 495589 56 495659 0 FreeSans 400 0 0 0 analog_io[31] +port 874 nsew +flabel metal3 s -424 367989 56 368059 0 FreeSans 400 0 0 0 analog_io[32] +port 873 nsew +flabel metal3 s -424 324789 56 324859 0 FreeSans 400 0 0 0 analog_io[33] +port 872 nsew +flabel metal3 s -424 281589 56 281659 0 FreeSans 400 0 0 0 analog_io[34] +port 871 nsew +flabel metal3 s -424 238389 56 238459 0 FreeSans 400 0 0 0 analog_io[35] +port 870 nsew +flabel metal3 s -424 195189 56 195260 0 FreeSans 400 0 0 0 analog_io[36] +port 869 nsew +flabel metal3 s -424 151989 56 152060 0 FreeSans 400 0 0 0 analog_io[37] +port 868 nsew +flabel metal3 s -424 752949 56 753019 0 FreeSans 400 0 0 0 analog_noesd_io[25] +port 924 nsew +flabel metal3 s -424 709749 56 709819 0 FreeSans 400 0 0 0 analog_noesd_io[26] +port 923 nsew +flabel metal3 s -424 666549 56 666619 0 FreeSans 400 0 0 0 analog_noesd_io[27] +port 922 nsew +flabel metal3 s -424 623349 56 623419 0 FreeSans 400 0 0 0 analog_noesd_io[28] +port 921 nsew +flabel metal3 s -424 580149 56 580219 0 FreeSans 400 0 0 0 analog_noesd_io[29] +port 920 nsew +flabel metal3 s -424 536949 56 537019 0 FreeSans 400 0 0 0 analog_noesd_io[30] +port 919 nsew +flabel metal3 s -424 493749 56 493819 0 FreeSans 400 0 0 0 analog_noesd_io[31] +port 918 nsew +flabel metal3 s -424 366149 56 366219 0 FreeSans 400 0 0 0 analog_noesd_io[32] +port 917 nsew +flabel metal3 s -424 322949 56 323019 0 FreeSans 400 0 0 0 analog_noesd_io[33] +port 916 nsew +flabel metal3 s -424 279749 56 279819 0 FreeSans 400 0 0 0 analog_noesd_io[34] +port 915 nsew +flabel metal3 s -424 236549 56 236619 0 FreeSans 400 0 0 0 analog_noesd_io[35] +port 914 nsew +flabel metal3 s -424 193349 56 193420 0 FreeSans 400 0 0 0 analog_noesd_io[36] +port 913 nsew +flabel metal3 s -424 150149 56 150220 0 FreeSans 400 0 0 0 analog_noesd_io[37] +port 912 nsew +flabel metal3 s -424 744393 56 744463 0 FreeSans 400 0 0 0 gpio_vtrip_sel[25] +port 308 nsew +flabel metal3 s -424 321109 56 321179 0 FreeSans 400 0 0 0 gpio_analog_pol[33] +port 520 nsew +flabel metal3 -264 906644 56 906704 0 FreeSans 400 0 0 0 gpio_loopback_one[24] +port 837 nsew +flabel metal3 -264 736644 56 736704 0 FreeSans 400 0 0 0 gpio_loopback_one[25] +port 836 nsew +flabel metal3 -264 693644 56 693704 0 FreeSans 400 0 0 0 gpio_loopback_one[26] +port 835 nsew +flabel metal3 -264 650644 56 650704 0 FreeSans 400 0 0 0 gpio_loopback_one[27] +port 834 nsew +flabel metal3 -264 607644 56 607704 0 FreeSans 400 0 0 0 gpio_loopback_one[28] +port 833 nsew +flabel metal3 -264 564644 56 564704 0 FreeSans 400 0 0 0 gpio_loopback_one[29] +port 832 nsew +flabel metal3 -264 521644 56 521704 0 FreeSans 400 0 0 0 gpio_loopback_one[30] +port 831 nsew +flabel metal3 -264 478644 56 478704 0 FreeSans 400 0 0 0 gpio_loopback_one[31] +port 830 nsew +flabel metal3 -264 349644 56 349704 0 FreeSans 400 0 0 0 gpio_loopback_one[32] +port 829 nsew +flabel metal3 -264 306644 56 306704 0 FreeSans 400 0 0 0 gpio_loopback_one[33] +port 828 nsew +flabel metal3 -264 263644 56 263704 0 FreeSans 400 0 0 0 gpio_loopback_one[34] +port 827 nsew +flabel metal3 -264 220644 56 220704 0 FreeSans 400 0 0 0 gpio_loopback_one[35] +port 826 nsew +flabel metal3 -264 177644 56 177704 0 FreeSans 400 0 0 0 gpio_loopback_one[36] +port 825 nsew +flabel metal3 -264 134644 56 134704 0 FreeSans 400 0 0 0 gpio_loopback_one[37] +port 824 nsew +flabel metal2 s 488380 -260 488432 56 0 FreeSans 400 90 0 0 gpio_loopback_one[43] +port 818 nsew +flabel metal2 s 492635 -260 492687 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[43] +port 774 nsew +flabel metal2 s 433580 -260 433632 56 0 FreeSans 400 90 0 0 gpio_loopback_one[42] +port 819 nsew +flabel metal2 s 437778 -260 437830 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[42] +port 775 nsew +flabel metal2 s 378780 -260 378832 56 0 FreeSans 400 90 0 0 gpio_loopback_one[41] +port 820 nsew +flabel metal2 s 382978 -260 383030 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[41] +port 776 nsew +flabel metal2 s 323980 -260 324032 56 0 FreeSans 400 90 0 0 gpio_loopback_one[40] +port 821 nsew +flabel metal2 s 328165 -282 328217 34 0 FreeSans 400 90 0 0 gpio_loopback_zero[40] +port 777 nsew +flabel metal2 s 269180 -260 269232 56 0 FreeSans 400 90 0 0 gpio_loopback_one[39] +port 822 nsew +flabel metal2 s 273360 -260 273412 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[39] +port 778 nsew +flabel metal2 s 160580 -260 160632 56 0 FreeSans 400 90 0 0 gpio_loopback_one[38] +port 823 nsew +flabel metal2 s 163791 -259 163843 57 0 FreeSans 400 90 0 0 gpio_loopback_zero[38] +port 779 nsew +flabel metal2 s 110164 -116 110220 56 0 FreeSans 400 90 0 0 resetb_l +port 37 nsew +flabel metal2 s 99571 -90 99637 56 0 FreeSans 400 90 0 0 resetb_h +port 36 nsew +flabel metal3 -283 53372 56 53442 0 FreeSans 400 0 0 0 por_l +port 35 nsew +flabel metal3 -283 53595 56 53665 0 FreeSans 400 0 0 0 porb_l +port 34 nsew +flabel metal2 s 605082 -260 605134 56 0 FreeSans 400 90 0 0 mask_rev[0] +port 69 nsew +flabel metal3 -283 53147 56 53217 0 FreeSans 400 0 0 0 porb_h +port 33 nsew +flabel metal2 578298 953270 578359 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[15] +port 846 nsew +flabel metal2 478898 953270 478959 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[16] +port 845 nsew +flabel metal2 427698 953270 427759 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[17] +port 844 nsew +flabel metal2 338698 953270 338759 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[18] +port 843 nsew +flabel metal2 234298 953270 234359 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[19] +port 842 nsew +flabel metal2 183098 953270 183159 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[20] +port 841 nsew +flabel metal2 131898 953270 131959 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[21] +port 840 nsew +flabel metal2 80698 953270 80759 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[22] +port 839 nsew +flabel metal2 29498 953270 29559 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[23] +port 838 nsew +flabel metal3 633270 927005 633590 927067 0 FreeSans 400 0 0 0 gpio_loopback_one[14] +port 847 nsew +flabel metal3 633270 837005 633590 837067 0 FreeSans 400 0 0 0 gpio_loopback_one[13] +port 848 nsew +flabel metal3 633270 748005 633590 748067 0 FreeSans 400 0 0 0 gpio_loopback_one[12] +port 849 nsew +flabel metal3 633270 703005 633590 703067 0 FreeSans 400 0 0 0 gpio_loopback_one[11] +port 850 nsew +flabel metal3 633270 658005 633590 658067 0 FreeSans 400 0 0 0 gpio_loopback_one[10] +port 851 nsew +flabel metal3 633270 613005 633590 613067 0 FreeSans 400 0 0 0 gpio_loopback_one[9] +port 852 nsew +flabel metal3 633270 568005 633590 568067 0 FreeSans 400 0 0 0 gpio_loopback_one[8] +port 853 nsew +flabel metal3 633270 523005 633590 523067 0 FreeSans 400 0 0 0 gpio_loopback_one[7] +port 854 nsew +flabel metal3 633270 346005 633590 346067 0 FreeSans 400 0 0 0 gpio_loopback_one[6] +port 855 nsew +flabel metal3 633270 301005 633590 301067 0 FreeSans 400 0 0 0 gpio_loopback_one[5] +port 856 nsew +flabel metal3 633270 256005 633590 256067 0 FreeSans 400 0 0 0 gpio_loopback_one[4] +port 857 nsew +flabel metal3 633270 211005 633590 211067 0 FreeSans 400 0 0 0 gpio_loopback_one[3] +port 858 nsew +flabel metal3 633270 166005 633590 166067 0 FreeSans 400 0 0 0 gpio_loopback_one[2] +port 859 nsew +flabel metal3 633270 121005 633590 121067 0 FreeSans 400 0 0 0 gpio_loopback_one[1] +port 860 nsew +flabel metal3 633270 76005 633590 76067 0 FreeSans 400 0 0 0 gpio_loopback_one[0] +port 861 nsew +flabel metal2 s 605978 -260 606030 56 0 FreeSans 400 90 0 0 mask_rev[4] +port 65 nsew +flabel metal2 s 606202 -260 606254 56 0 FreeSans 400 90 0 0 mask_rev[5] +port 64 nsew +flabel metal2 s 606426 -260 606478 56 0 FreeSans 400 90 0 0 mask_rev[6] +port 63 nsew +flabel metal2 s 606650 -260 606702 56 0 FreeSans 400 90 0 0 mask_rev[7] +port 62 nsew +flabel metal2 s 606874 -260 606926 56 0 FreeSans 400 90 0 0 mask_rev[8] +port 61 nsew +flabel metal2 s 607098 -260 607150 56 0 FreeSans 400 90 0 0 mask_rev[9] +port 60 nsew +flabel metal2 s 607322 -260 607374 56 0 FreeSans 400 90 0 0 mask_rev[10] +port 59 nsew +flabel metal2 s 607546 -260 607598 56 0 FreeSans 400 90 0 0 mask_rev[11] +port 58 nsew +flabel metal2 s 607770 -260 607822 56 0 FreeSans 400 90 0 0 mask_rev[12] +port 57 nsew +flabel metal2 s 607994 -260 608046 56 0 FreeSans 400 90 0 0 mask_rev[13] +port 56 nsew +flabel metal2 s 608218 -260 608270 56 0 FreeSans 400 90 0 0 mask_rev[14] +port 55 nsew +flabel metal2 s 608442 -260 608494 56 0 FreeSans 400 90 0 0 mask_rev[15] +port 54 nsew +flabel metal2 s 608666 -260 608718 56 0 FreeSans 400 90 0 0 mask_rev[16] +port 53 nsew +flabel metal2 s 608890 -260 608942 56 0 FreeSans 400 90 0 0 mask_rev[17] +port 52 nsew +flabel metal2 s 609114 -260 609166 56 0 FreeSans 400 90 0 0 mask_rev[18] +port 51 nsew +flabel metal2 s 609338 -260 609390 56 0 FreeSans 400 90 0 0 mask_rev[19] +port 50 nsew +flabel metal2 s 609562 -260 609614 56 0 FreeSans 400 90 0 0 mask_rev[20] +port 49 nsew +flabel metal2 s 609786 -260 609838 56 0 FreeSans 400 90 0 0 mask_rev[21] +port 48 nsew +flabel metal2 s 610010 -260 610062 56 0 FreeSans 400 90 0 0 mask_rev[22] +port 47 nsew +flabel metal2 s 610234 -260 610286 56 0 FreeSans 400 90 0 0 mask_rev[23] +port 46 nsew +flabel metal2 s 610458 -260 610510 56 0 FreeSans 400 90 0 0 mask_rev[24] +port 45 nsew +flabel metal2 s 610682 -260 610734 56 0 FreeSans 400 90 0 0 mask_rev[25] +port 44 nsew +flabel metal2 s 610906 -260 610958 56 0 FreeSans 400 90 0 0 mask_rev[26] +port 43 nsew +flabel metal2 s 611130 -260 611182 56 0 FreeSans 400 90 0 0 mask_rev[27] +port 42 nsew +flabel metal2 s 611354 -260 611406 56 0 FreeSans 400 90 0 0 mask_rev[28] +port 41 nsew +flabel metal2 s 611578 -260 611630 56 0 FreeSans 400 90 0 0 mask_rev[29] +port 40 nsew +flabel metal2 s 611802 -260 611854 56 0 FreeSans 400 90 0 0 mask_rev[30] +port 39 nsew +flabel metal2 s 612026 -260 612078 56 0 FreeSans 400 90 0 0 mask_rev[31] +port 38 nsew +flabel metal2 s 605754 -260 605806 56 0 FreeSans 400 90 0 0 mask_rev[3] +port 66 nsew +flabel metal2 s 605530 -260 605582 56 0 FreeSans 400 90 0 0 mask_rev[2] +port 67 nsew +flabel metal2 s 605306 -260 605358 56 0 FreeSans 400 90 0 0 mask_rev[1] +port 68 nsew +flabel metal3 633270 78007 633590 78069 0 FreeSans 400 0 0 0 gpio_loopback_zero[0] +port 817 nsew +flabel metal3 633270 123007 633590 123069 0 FreeSans 400 0 0 0 gpio_loopback_zero[1] +port 816 nsew +flabel metal3 633270 168007 633590 168069 0 FreeSans 400 0 0 0 gpio_loopback_zero[2] +port 815 nsew +flabel metal3 633270 213007 633590 213069 0 FreeSans 400 0 0 0 gpio_loopback_zero[3] +port 814 nsew +flabel metal3 633270 258007 633590 258069 0 FreeSans 400 0 0 0 gpio_loopback_zero[4] +port 813 nsew +flabel metal3 633270 303007 633590 303069 0 FreeSans 400 0 0 0 gpio_loopback_zero[5] +port 812 nsew +flabel metal3 633270 348007 633590 348069 0 FreeSans 400 0 0 0 gpio_loopback_zero[6] +port 811 nsew +flabel metal3 633270 525007 633590 525069 0 FreeSans 400 0 0 0 gpio_loopback_zero[7] +port 810 nsew +flabel metal3 633270 570007 633590 570069 0 FreeSans 400 0 0 0 gpio_loopback_zero[8] +port 809 nsew +flabel metal3 633270 615007 633590 615069 0 FreeSans 400 0 0 0 gpio_loopback_zero[9] +port 808 nsew +flabel metal3 633270 660007 633590 660069 0 FreeSans 400 0 0 0 gpio_loopback_zero[10] +port 807 nsew +flabel metal3 633270 705007 633590 705069 0 FreeSans 400 0 0 0 gpio_loopback_zero[11] +port 806 nsew +flabel metal3 633270 750007 633590 750069 0 FreeSans 400 0 0 0 gpio_loopback_zero[12] +port 805 nsew +flabel metal3 633270 839007 633590 839069 0 FreeSans 400 0 0 0 gpio_loopback_zero[13] +port 804 nsew +flabel metal3 633270 929007 633590 929069 0 FreeSans 400 0 0 0 gpio_loopback_zero[14] +port 803 nsew +flabel metal3 -264 734644 56 734704 0 FreeSans 400 0 0 0 gpio_loopback_zero[25] +port 792 nsew +flabel metal3 -264 648644 56 648704 0 FreeSans 400 0 0 0 gpio_loopback_zero[27] +port 790 nsew +flabel metal3 -264 562644 56 562704 0 FreeSans 400 0 0 0 gpio_loopback_zero[29] +port 788 nsew +flabel metal3 -264 476644 56 476704 0 FreeSans 400 0 0 0 gpio_loopback_zero[31] +port 786 nsew +flabel metal3 -264 304644 56 304704 0 FreeSans 400 0 0 0 gpio_loopback_zero[33] +port 784 nsew +flabel metal3 -264 218644 56 218704 0 FreeSans 400 0 0 0 gpio_loopback_zero[35] +port 782 nsew +flabel metal3 -264 132644 56 132704 0 FreeSans 400 0 0 0 gpio_loopback_zero[37] +port 780 nsew +flabel metal3 -264 904644 56 904704 0 FreeSans 400 0 0 0 gpio_loopback_zero[24] +port 793 nsew +flabel metal3 -264 691644 56 691704 0 FreeSans 400 0 0 0 gpio_loopback_zero[26] +port 791 nsew +flabel metal3 -264 605644 56 605704 0 FreeSans 400 0 0 0 gpio_loopback_zero[28] +port 789 nsew +flabel metal3 -264 519644 56 519704 0 FreeSans 400 0 0 0 gpio_loopback_zero[30] +port 787 nsew +flabel metal3 -264 347644 56 347704 0 FreeSans 400 0 0 0 gpio_loopback_zero[32] +port 785 nsew +flabel metal3 -264 261644 56 261704 0 FreeSans 400 0 0 0 gpio_loopback_zero[34] +port 783 nsew +flabel metal3 -264 175644 56 175704 0 FreeSans 400 0 0 0 gpio_loopback_zero[36] +port 781 nsew +flabel metal2 27497 953270 27558 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[23] +port 794 nsew +flabel metal2 78697 953270 78758 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[22] +port 795 nsew +flabel metal2 129897 953270 129958 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[21] +port 796 nsew +flabel metal2 181097 953270 181158 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[20] +port 797 nsew +flabel metal2 232297 953270 232358 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[19] +port 798 nsew +flabel metal2 336697 953270 336758 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[18] +port 799 nsew +flabel metal2 425697 953270 425758 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[17] +port 800 nsew +flabel metal2 476897 953270 476958 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[16] +port 801 nsew +flabel metal2 576297 953270 576358 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[15] +port 802 nsew +<< properties >> +string FIXED_BBOX 0 0 633326 953326 +<< end >> diff --git a/mag/user_analog_proj_example.mag b/mag/user_analog_proj_example.mag deleted file mode 100644 index fcac6f8..0000000 --- a/mag/user_analog_proj_example.mag +++ /dev/null @@ -1,18 +0,0 @@ -magic -tech $PDK -magscale 1 2 -timestamp 1639841760 -<< error_p >> -rect 5036 7870 5051 7898 -rect 5008 7676 5023 7870 -rect 20366 7862 20381 7890 -rect 20394 7668 20409 7862 -use example_por example_por_1 -timestamp 1639841760 -transform 1 0 14132 0 1 -22 -box 0 0 11344 8338 -use example_por example_por_0 -timestamp 1639841760 -transform -1 0 11285 0 1 -14 -box 0 0 11344 8338 -<< end >> diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag deleted file mode 100644 index f1198f1..0000000 --- a/mag/user_analog_project_wrapper.mag +++ /dev/null @@ -1,2362 +0,0 @@ -magic -tech $PDK -magscale 1 2 -timestamp 1639841760 -<< mvpsubdiff >> -rect 345740 628255 345764 629032 -rect 371078 628255 371102 629032 -<< mvpsubdiffcont >> -rect 345764 628255 371078 629032 -<< locali >> -rect 345748 628255 345764 629032 -rect 371078 628255 371094 629032 -<< viali >> -rect 357593 628300 359298 629000 -<< metal1 >> -rect 357470 629399 359442 629457 -rect 357470 628057 357538 629399 -rect 359388 628057 359442 629399 -rect 357470 627990 359442 628057 -<< via1 >> -rect 357538 629000 359388 629399 -rect 357538 628300 357593 629000 -rect 357593 628300 359298 629000 -rect 359298 628300 359388 629000 -rect 357538 628057 359388 628300 -<< metal2 >> -rect 357470 629399 359442 629457 -rect 357470 628057 357538 629399 -rect 359388 628057 359442 629399 -rect 357470 627990 359442 628057 -rect 524 -800 636 480 -rect 1706 -800 1818 480 -rect 2888 -800 3000 480 -rect 4070 -800 4182 480 -rect 5252 -800 5364 480 -rect 6434 -800 6546 480 -rect 7616 -800 7728 480 -rect 8798 -800 8910 480 -rect 9980 -800 10092 480 -rect 11162 -800 11274 480 -rect 12344 -800 12456 480 -rect 13526 -800 13638 480 -rect 14708 -800 14820 480 -rect 15890 -800 16002 480 -rect 17072 -800 17184 480 -rect 18254 -800 18366 480 -rect 19436 -800 19548 480 -rect 20618 -800 20730 480 -rect 21800 -800 21912 480 -rect 22982 -800 23094 480 -rect 24164 -800 24276 480 -rect 25346 -800 25458 480 -rect 26528 -800 26640 480 -rect 27710 -800 27822 480 -rect 28892 -800 29004 480 -rect 30074 -800 30186 480 -rect 31256 -800 31368 480 -rect 32438 -800 32550 480 -rect 33620 -800 33732 480 -rect 34802 -800 34914 480 -rect 35984 -800 36096 480 -rect 37166 -800 37278 480 -rect 38348 -800 38460 480 -rect 39530 -800 39642 480 -rect 40712 -800 40824 480 -rect 41894 -800 42006 480 -rect 43076 -800 43188 480 -rect 44258 -800 44370 480 -rect 45440 -800 45552 480 -rect 46622 -800 46734 480 -rect 47804 -800 47916 480 -rect 48986 -800 49098 480 -rect 50168 -800 50280 480 -rect 51350 -800 51462 480 -rect 52532 -800 52644 480 -rect 53714 -800 53826 480 -rect 54896 -800 55008 480 -rect 56078 -800 56190 480 -rect 57260 -800 57372 480 -rect 58442 -800 58554 480 -rect 59624 -800 59736 480 -rect 60806 -800 60918 480 -rect 61988 -800 62100 480 -rect 63170 -800 63282 480 -rect 64352 -800 64464 480 -rect 65534 -800 65646 480 -rect 66716 -800 66828 480 -rect 67898 -800 68010 480 -rect 69080 -800 69192 480 -rect 70262 -800 70374 480 -rect 71444 -800 71556 480 -rect 72626 -800 72738 480 -rect 73808 -800 73920 480 -rect 74990 -800 75102 480 -rect 76172 -800 76284 480 -rect 77354 -800 77466 480 -rect 78536 -800 78648 480 -rect 79718 -800 79830 480 -rect 80900 -800 81012 480 -rect 82082 -800 82194 480 -rect 83264 -800 83376 480 -rect 84446 -800 84558 480 -rect 85628 -800 85740 480 -rect 86810 -800 86922 480 -rect 87992 -800 88104 480 -rect 89174 -800 89286 480 -rect 90356 -800 90468 480 -rect 91538 -800 91650 480 -rect 92720 -800 92832 480 -rect 93902 -800 94014 480 -rect 95084 -800 95196 480 -rect 96266 -800 96378 480 -rect 97448 -800 97560 480 -rect 98630 -800 98742 480 -rect 99812 -800 99924 480 -rect 100994 -800 101106 480 -rect 102176 -800 102288 480 -rect 103358 -800 103470 480 -rect 104540 -800 104652 480 -rect 105722 -800 105834 480 -rect 106904 -800 107016 480 -rect 108086 -800 108198 480 -rect 109268 -800 109380 480 -rect 110450 -800 110562 480 -rect 111632 -800 111744 480 -rect 112814 -800 112926 480 -rect 113996 -800 114108 480 -rect 115178 -800 115290 480 -rect 116360 -800 116472 480 -rect 117542 -800 117654 480 -rect 118724 -800 118836 480 -rect 119906 -800 120018 480 -rect 121088 -800 121200 480 -rect 122270 -800 122382 480 -rect 123452 -800 123564 480 -rect 124634 -800 124746 480 -rect 125816 -800 125928 480 -rect 126998 -800 127110 480 -rect 128180 -800 128292 480 -rect 129362 -800 129474 480 -rect 130544 -800 130656 480 -rect 131726 -800 131838 480 -rect 132908 -800 133020 480 -rect 134090 -800 134202 480 -rect 135272 -800 135384 480 -rect 136454 -800 136566 480 -rect 137636 -800 137748 480 -rect 138818 -800 138930 480 -rect 140000 -800 140112 480 -rect 141182 -800 141294 480 -rect 142364 -800 142476 480 -rect 143546 -800 143658 480 -rect 144728 -800 144840 480 -rect 145910 -800 146022 480 -rect 147092 -800 147204 480 -rect 148274 -800 148386 480 -rect 149456 -800 149568 480 -rect 150638 -800 150750 480 -rect 151820 -800 151932 480 -rect 153002 -800 153114 480 -rect 154184 -800 154296 480 -rect 155366 -800 155478 480 -rect 156548 -800 156660 480 -rect 157730 -800 157842 480 -rect 158912 -800 159024 480 -rect 160094 -800 160206 480 -rect 161276 -800 161388 480 -rect 162458 -800 162570 480 -rect 163640 -800 163752 480 -rect 164822 -800 164934 480 -rect 166004 -800 166116 480 -rect 167186 -800 167298 480 -rect 168368 -800 168480 480 -rect 169550 -800 169662 480 -rect 170732 -800 170844 480 -rect 171914 -800 172026 480 -rect 173096 -800 173208 480 -rect 174278 -800 174390 480 -rect 175460 -800 175572 480 -rect 176642 -800 176754 480 -rect 177824 -800 177936 480 -rect 179006 -800 179118 480 -rect 180188 -800 180300 480 -rect 181370 -800 181482 480 -rect 182552 -800 182664 480 -rect 183734 -800 183846 480 -rect 184916 -800 185028 480 -rect 186098 -800 186210 480 -rect 187280 -800 187392 480 -rect 188462 -800 188574 480 -rect 189644 -800 189756 480 -rect 190826 -800 190938 480 -rect 192008 -800 192120 480 -rect 193190 -800 193302 480 -rect 194372 -800 194484 480 -rect 195554 -800 195666 480 -rect 196736 -800 196848 480 -rect 197918 -800 198030 480 -rect 199100 -800 199212 480 -rect 200282 -800 200394 480 -rect 201464 -800 201576 480 -rect 202646 -800 202758 480 -rect 203828 -800 203940 480 -rect 205010 -800 205122 480 -rect 206192 -800 206304 480 -rect 207374 -800 207486 480 -rect 208556 -800 208668 480 -rect 209738 -800 209850 480 -rect 210920 -800 211032 480 -rect 212102 -800 212214 480 -rect 213284 -800 213396 480 -rect 214466 -800 214578 480 -rect 215648 -800 215760 480 -rect 216830 -800 216942 480 -rect 218012 -800 218124 480 -rect 219194 -800 219306 480 -rect 220376 -800 220488 480 -rect 221558 -800 221670 480 -rect 222740 -800 222852 480 -rect 223922 -800 224034 480 -rect 225104 -800 225216 480 -rect 226286 -800 226398 480 -rect 227468 -800 227580 480 -rect 228650 -800 228762 480 -rect 229832 -800 229944 480 -rect 231014 -800 231126 480 -rect 232196 -800 232308 480 -rect 233378 -800 233490 480 -rect 234560 -800 234672 480 -rect 235742 -800 235854 480 -rect 236924 -800 237036 480 -rect 238106 -800 238218 480 -rect 239288 -800 239400 480 -rect 240470 -800 240582 480 -rect 241652 -800 241764 480 -rect 242834 -800 242946 480 -rect 244016 -800 244128 480 -rect 245198 -800 245310 480 -rect 246380 -800 246492 480 -rect 247562 -800 247674 480 -rect 248744 -800 248856 480 -rect 249926 -800 250038 480 -rect 251108 -800 251220 480 -rect 252290 -800 252402 480 -rect 253472 -800 253584 480 -rect 254654 -800 254766 480 -rect 255836 -800 255948 480 -rect 257018 -800 257130 480 -rect 258200 -800 258312 480 -rect 259382 -800 259494 480 -rect 260564 -800 260676 480 -rect 261746 -800 261858 480 -rect 262928 -800 263040 480 -rect 264110 -800 264222 480 -rect 265292 -800 265404 480 -rect 266474 -800 266586 480 -rect 267656 -800 267768 480 -rect 268838 -800 268950 480 -rect 270020 -800 270132 480 -rect 271202 -800 271314 480 -rect 272384 -800 272496 480 -rect 273566 -800 273678 480 -rect 274748 -800 274860 480 -rect 275930 -800 276042 480 -rect 277112 -800 277224 480 -rect 278294 -800 278406 480 -rect 279476 -800 279588 480 -rect 280658 -800 280770 480 -rect 281840 -800 281952 480 -rect 283022 -800 283134 480 -rect 284204 -800 284316 480 -rect 285386 -800 285498 480 -rect 286568 -800 286680 480 -rect 287750 -800 287862 480 -rect 288932 -800 289044 480 -rect 290114 -800 290226 480 -rect 291296 -800 291408 480 -rect 292478 -800 292590 480 -rect 293660 -800 293772 480 -rect 294842 -800 294954 480 -rect 296024 -800 296136 480 -rect 297206 -800 297318 480 -rect 298388 -800 298500 480 -rect 299570 -800 299682 480 -rect 300752 -800 300864 480 -rect 301934 -800 302046 480 -rect 303116 -800 303228 480 -rect 304298 -800 304410 480 -rect 305480 -800 305592 480 -rect 306662 -800 306774 480 -rect 307844 -800 307956 480 -rect 309026 -800 309138 480 -rect 310208 -800 310320 480 -rect 311390 -800 311502 480 -rect 312572 -800 312684 480 -rect 313754 -800 313866 480 -rect 314936 -800 315048 480 -rect 316118 -800 316230 480 -rect 317300 -800 317412 480 -rect 318482 -800 318594 480 -rect 319664 -800 319776 480 -rect 320846 -800 320958 480 -rect 322028 -800 322140 480 -rect 323210 -800 323322 480 -rect 324392 -800 324504 480 -rect 325574 -800 325686 480 -rect 326756 -800 326868 480 -rect 327938 -800 328050 480 -rect 329120 -800 329232 480 -rect 330302 -800 330414 480 -rect 331484 -800 331596 480 -rect 332666 -800 332778 480 -rect 333848 -800 333960 480 -rect 335030 -800 335142 480 -rect 336212 -800 336324 480 -rect 337394 -800 337506 480 -rect 338576 -800 338688 480 -rect 339758 -800 339870 480 -rect 340940 -800 341052 480 -rect 342122 -800 342234 480 -rect 343304 -800 343416 480 -rect 344486 -800 344598 480 -rect 345668 -800 345780 480 -rect 346850 -800 346962 480 -rect 348032 -800 348144 480 -rect 349214 -800 349326 480 -rect 350396 -800 350508 480 -rect 351578 -800 351690 480 -rect 352760 -800 352872 480 -rect 353942 -800 354054 480 -rect 355124 -800 355236 480 -rect 356306 -800 356418 480 -rect 357488 -800 357600 480 -rect 358670 -800 358782 480 -rect 359852 -800 359964 480 -rect 361034 -800 361146 480 -rect 362216 -800 362328 480 -rect 363398 -800 363510 480 -rect 364580 -800 364692 480 -rect 365762 -800 365874 480 -rect 366944 -800 367056 480 -rect 368126 -800 368238 480 -rect 369308 -800 369420 480 -rect 370490 -800 370602 480 -rect 371672 -800 371784 480 -rect 372854 -800 372966 480 -rect 374036 -800 374148 480 -rect 375218 -800 375330 480 -rect 376400 -800 376512 480 -rect 377582 -800 377694 480 -rect 378764 -800 378876 480 -rect 379946 -800 380058 480 -rect 381128 -800 381240 480 -rect 382310 -800 382422 480 -rect 383492 -800 383604 480 -rect 384674 -800 384786 480 -rect 385856 -800 385968 480 -rect 387038 -800 387150 480 -rect 388220 -800 388332 480 -rect 389402 -800 389514 480 -rect 390584 -800 390696 480 -rect 391766 -800 391878 480 -rect 392948 -800 393060 480 -rect 394130 -800 394242 480 -rect 395312 -800 395424 480 -rect 396494 -800 396606 480 -rect 397676 -800 397788 480 -rect 398858 -800 398970 480 -rect 400040 -800 400152 480 -rect 401222 -800 401334 480 -rect 402404 -800 402516 480 -rect 403586 -800 403698 480 -rect 404768 -800 404880 480 -rect 405950 -800 406062 480 -rect 407132 -800 407244 480 -rect 408314 -800 408426 480 -rect 409496 -800 409608 480 -rect 410678 -800 410790 480 -rect 411860 -800 411972 480 -rect 413042 -800 413154 480 -rect 414224 -800 414336 480 -rect 415406 -800 415518 480 -rect 416588 -800 416700 480 -rect 417770 -800 417882 480 -rect 418952 -800 419064 480 -rect 420134 -800 420246 480 -rect 421316 -800 421428 480 -rect 422498 -800 422610 480 -rect 423680 -800 423792 480 -rect 424862 -800 424974 480 -rect 426044 -800 426156 480 -rect 427226 -800 427338 480 -rect 428408 -800 428520 480 -rect 429590 -800 429702 480 -rect 430772 -800 430884 480 -rect 431954 -800 432066 480 -rect 433136 -800 433248 480 -rect 434318 -800 434430 480 -rect 435500 -800 435612 480 -rect 436682 -800 436794 480 -rect 437864 -800 437976 480 -rect 439046 -800 439158 480 -rect 440228 -800 440340 480 -rect 441410 -800 441522 480 -rect 442592 -800 442704 480 -rect 443774 -800 443886 480 -rect 444956 -800 445068 480 -rect 446138 -800 446250 480 -rect 447320 -800 447432 480 -rect 448502 -800 448614 480 -rect 449684 -800 449796 480 -rect 450866 -800 450978 480 -rect 452048 -800 452160 480 -rect 453230 -800 453342 480 -rect 454412 -800 454524 480 -rect 455594 -800 455706 480 -rect 456776 -800 456888 480 -rect 457958 -800 458070 480 -rect 459140 -800 459252 480 -rect 460322 -800 460434 480 -rect 461504 -800 461616 480 -rect 462686 -800 462798 480 -rect 463868 -800 463980 480 -rect 465050 -800 465162 480 -rect 466232 -800 466344 480 -rect 467414 -800 467526 480 -rect 468596 -800 468708 480 -rect 469778 -800 469890 480 -rect 470960 -800 471072 480 -rect 472142 -800 472254 480 -rect 473324 -800 473436 480 -rect 474506 -800 474618 480 -rect 475688 -800 475800 480 -rect 476870 -800 476982 480 -rect 478052 -800 478164 480 -rect 479234 -800 479346 480 -rect 480416 -800 480528 480 -rect 481598 -800 481710 480 -rect 482780 -800 482892 480 -rect 483962 -800 484074 480 -rect 485144 -800 485256 480 -rect 486326 -800 486438 480 -rect 487508 -800 487620 480 -rect 488690 -800 488802 480 -rect 489872 -800 489984 480 -rect 491054 -800 491166 480 -rect 492236 -800 492348 480 -rect 493418 -800 493530 480 -rect 494600 -800 494712 480 -rect 495782 -800 495894 480 -rect 496964 -800 497076 480 -rect 498146 -800 498258 480 -rect 499328 -800 499440 480 -rect 500510 -800 500622 480 -rect 501692 -800 501804 480 -rect 502874 -800 502986 480 -rect 504056 -800 504168 480 -rect 505238 -800 505350 480 -rect 506420 -800 506532 480 -rect 507602 -800 507714 480 -rect 508784 -800 508896 480 -rect 509966 -800 510078 480 -rect 511148 -800 511260 480 -rect 512330 -800 512442 480 -rect 513512 -800 513624 480 -rect 514694 -800 514806 480 -rect 515876 -800 515988 480 -rect 517058 -800 517170 480 -rect 518240 -800 518352 480 -rect 519422 -800 519534 480 -rect 520604 -800 520716 480 -rect 521786 -800 521898 480 -rect 522968 -800 523080 480 -rect 524150 -800 524262 480 -rect 525332 -800 525444 480 -rect 526514 -800 526626 480 -rect 527696 -800 527808 480 -rect 528878 -800 528990 480 -rect 530060 -800 530172 480 -rect 531242 -800 531354 480 -rect 532424 -800 532536 480 -rect 533606 -800 533718 480 -rect 534788 -800 534900 480 -rect 535970 -800 536082 480 -rect 537152 -800 537264 480 -rect 538334 -800 538446 480 -rect 539516 -800 539628 480 -rect 540698 -800 540810 480 -rect 541880 -800 541992 480 -rect 543062 -800 543174 480 -rect 544244 -800 544356 480 -rect 545426 -800 545538 480 -rect 546608 -800 546720 480 -rect 547790 -800 547902 480 -rect 548972 -800 549084 480 -rect 550154 -800 550266 480 -rect 551336 -800 551448 480 -rect 552518 -800 552630 480 -rect 553700 -800 553812 480 -rect 554882 -800 554994 480 -rect 556064 -800 556176 480 -rect 557246 -800 557358 480 -rect 558428 -800 558540 480 -rect 559610 -800 559722 480 -rect 560792 -800 560904 480 -rect 561974 -800 562086 480 -rect 563156 -800 563268 480 -rect 564338 -800 564450 480 -rect 565520 -800 565632 480 -rect 566702 -800 566814 480 -rect 567884 -800 567996 480 -rect 569066 -800 569178 480 -rect 570248 -800 570360 480 -rect 571430 -800 571542 480 -rect 572612 -800 572724 480 -rect 573794 -800 573906 480 -rect 574976 -800 575088 480 -rect 576158 -800 576270 480 -rect 577340 -800 577452 480 -rect 578522 -800 578634 480 -rect 579704 -800 579816 480 -rect 580886 -800 580998 480 -rect 582068 -800 582180 480 -rect 583250 -800 583362 480 -<< via2 >> -rect 357538 628057 359388 629399 -<< metal3 >> -rect 16194 702300 21194 704800 -rect 68194 702300 73194 704800 -rect 120194 702300 125194 704800 -rect 165594 702300 170594 704800 -rect 170894 700788 173094 704800 -rect 170894 690603 173094 700738 -rect -800 680242 1700 685242 -rect 170894 683764 173094 684327 -rect 173394 700786 175594 704800 -rect 175894 702300 180894 704800 -rect 217294 702300 222294 704800 -rect 173394 690603 175594 700736 -rect 173394 683764 175594 684327 -rect 222594 700836 224794 704800 -rect 222594 690636 224794 700786 -rect 222594 683913 224794 684360 -rect 225094 700846 227294 704800 -rect 227594 702300 232594 704800 -rect 225094 690636 227294 700796 -rect 225094 683913 227294 684360 -rect 318994 649497 323994 704800 -rect 324294 701130 326494 704800 -rect 324294 690618 326494 701080 -rect 326794 701150 328994 704800 -rect 326794 694292 328994 701100 -rect 329294 694292 334294 704800 -rect 413394 702300 418394 704800 -rect 465394 702300 470394 704800 -rect 326794 692092 334294 694292 -rect 324294 684038 326494 684344 -rect -800 643842 1660 648642 -rect 318994 642983 323994 643740 -rect 329294 649497 334294 692092 -rect 329294 642983 334294 643740 -rect 510594 690564 515394 704800 -rect -800 633842 1660 638642 -rect 510594 637598 515394 684332 -rect 510594 631116 515394 631780 -rect 520594 690564 525394 704800 -rect 566594 702300 571594 704800 -rect 520594 637598 525394 684332 -rect 582300 677984 584800 682984 -rect 560050 639784 560566 644584 -rect 566742 639784 584800 644584 -rect 520594 631116 525394 631780 -rect 560050 629784 560566 634584 -rect 566742 629784 584800 634584 -rect 357470 629399 359442 629457 -rect 357470 628057 357538 629399 -rect 359388 628057 359442 629399 -rect 357470 627990 359442 628057 -rect 339960 620294 345660 620363 -rect 371099 620302 533609 620371 -rect -800 559442 1660 564242 -rect -800 549442 1660 554242 -rect 339960 511642 340072 620294 -rect 341733 619574 341739 619684 -rect 341849 619637 341855 619684 -rect 533089 619645 533095 619647 -rect 341849 619577 345660 619637 -rect 371099 619585 533095 619645 -rect 533089 619583 533095 619585 -rect 533159 619583 533165 619647 -rect 341849 619574 341855 619577 -rect 533105 619280 533111 619282 -rect -800 511530 340072 511642 -rect 340967 619212 345660 619272 -rect 371099 619220 533111 619280 -rect 533105 619218 533111 619220 -rect 533175 619218 533181 619282 -rect -800 510348 480 510460 -rect -800 509166 480 509278 -rect -800 507984 480 508096 -rect -800 506802 480 506914 -rect -800 505620 480 505732 -rect -800 468308 480 468420 -rect -800 467126 480 467238 -rect -800 465944 480 466056 -rect -800 464762 480 464874 -rect 340967 463692 341079 619212 -rect -800 463580 341079 463692 -rect 341738 618632 341850 618638 -rect -800 462398 660 462510 -rect 780 462398 13894 462510 -rect 17564 462398 17711 462510 -rect -800 425086 480 425198 -rect -800 423904 480 424016 -rect -800 422722 480 422834 -rect -800 421540 480 421652 -rect 341738 420470 341850 618520 -rect -800 420358 341850 420470 -rect -800 419176 676 419288 -rect 738 419176 13887 419288 -rect 17599 419176 17694 419288 -rect 533497 405408 533609 620302 -rect 533894 619647 533958 619653 -rect 533958 619585 539606 619645 -rect 533894 619577 533958 619583 -rect 533904 619282 533968 619288 -rect 533968 619220 537488 619280 -rect 533904 619212 533968 619218 -rect 537376 454558 537488 619220 -rect 539494 498980 539606 619585 -rect 583520 589472 584800 589584 -rect 583520 588290 584800 588402 -rect 583520 587108 584800 587220 -rect 583520 585926 584800 586038 -rect 583520 584744 584800 584856 -rect 583520 583562 584800 583674 -rect 555452 550562 556229 555362 -rect 562346 550562 584800 555362 -rect 555452 540562 556229 545362 -rect 562346 540562 584800 545362 -rect 573371 500050 573548 500162 -rect 576743 500050 583220 500162 -rect 583318 500050 584800 500162 -rect 539494 498868 584800 498980 -rect 583520 497686 584800 497798 -rect 583520 496504 584800 496616 -rect 583520 495322 584800 495434 -rect 583520 494140 584800 494252 -rect 573405 455628 573556 455740 -rect 576731 455628 583180 455740 -rect 583296 455628 584800 455740 -rect 537376 454446 584800 454558 -rect 583520 453264 584800 453376 -rect 583520 452082 584800 452194 -rect 583520 450900 584800 451012 -rect 583520 449718 584800 449830 -rect 583520 411206 584800 411318 -rect 583520 410024 584800 410136 -rect 583520 408842 584800 408954 -rect 583520 407660 584800 407772 -rect 583520 406478 584800 406590 -rect 533497 405296 584800 405408 -rect -800 381864 480 381976 -rect -800 380682 480 380794 -rect -800 379500 480 379612 -rect -800 378318 480 378430 -rect -800 377136 480 377248 -rect -800 375954 480 376066 -rect 583520 364784 584800 364896 -rect 583520 363602 584800 363714 -rect 583520 362420 584800 362532 -rect 583520 361238 584800 361350 -rect 583520 360056 584800 360168 -rect 583520 358874 584800 358986 -rect -800 338642 480 338754 -rect -800 337460 480 337572 -rect -800 336278 480 336390 -rect -800 335096 480 335208 -rect -800 333914 480 334026 -rect -800 332732 480 332844 -rect 583520 319562 584800 319674 -rect 583520 318380 584800 318492 -rect 583520 317198 584800 317310 -rect 583520 316016 584800 316128 -rect 583520 314834 584800 314946 -rect 583520 313652 584800 313764 -rect -800 295420 480 295532 -rect -800 294238 480 294350 -rect -800 293056 480 293168 -rect -800 291874 480 291986 -rect -800 290692 480 290804 -rect -800 289510 480 289622 -rect 583520 275140 584800 275252 -rect 583520 273958 584800 274070 -rect 583520 272776 584800 272888 -rect 583520 271594 584800 271706 -rect 583520 270412 584800 270524 -rect 583520 269230 584800 269342 -rect -800 252398 480 252510 -rect -800 251216 480 251328 -rect -800 250034 480 250146 -rect -800 248852 480 248964 -rect -800 247670 480 247782 -rect -800 246488 480 246600 -rect 582340 235230 584800 240030 -rect 582340 225230 584800 230030 -rect -800 214888 1660 219688 -rect -800 204888 1660 209688 -rect 13406 191430 13991 196230 -rect 17427 191430 573605 196230 -rect 576629 191430 584800 196230 -rect 582340 181430 584800 186230 -rect -800 172888 1660 177688 -rect -800 162888 1660 167688 -rect 582340 146830 584800 151630 -rect 582340 136830 584800 141630 -rect -800 124776 480 124888 -rect -800 123594 480 123706 -rect -800 122412 480 122524 -rect -800 121230 480 121342 -rect -800 120048 480 120160 -rect -800 118866 480 118978 -rect 583520 95118 584800 95230 -rect 583520 93936 584800 94048 -rect 583520 92754 584800 92866 -rect 583520 91572 584800 91684 -rect -800 81554 480 81666 -rect -800 80372 480 80484 -rect -800 79190 480 79302 -rect -800 78008 480 78120 -rect -800 76826 480 76938 -rect -800 75644 480 75756 -rect 583520 50460 584800 50572 -rect 583520 49278 584800 49390 -rect 583520 48096 584800 48208 -rect 583520 46914 584800 47026 -rect -800 38332 480 38444 -rect -800 37150 480 37262 -rect -800 35968 480 36080 -rect -800 34786 480 34898 -rect -800 33604 480 33716 -rect -800 32422 480 32534 -rect 583520 24002 584800 24114 -rect 583520 22820 584800 22932 -rect 583520 21638 584800 21750 -rect 583520 20456 584800 20568 -rect 583520 19274 584800 19386 -rect 583520 18092 584800 18204 -rect -800 16910 480 17022 -rect 583520 16910 584800 17022 -rect -800 15728 480 15840 -rect 583520 15728 584800 15840 -rect -800 14546 480 14658 -rect 583520 14546 584800 14658 -rect -800 13364 480 13476 -rect 583520 13364 584800 13476 -rect -800 12182 480 12294 -rect 583520 12182 584800 12294 -rect -800 11000 480 11112 -rect 583520 11000 584800 11112 -rect -800 9818 480 9930 -rect 583520 9818 584800 9930 -rect -800 8636 480 8748 -rect 583520 8636 584800 8748 -rect -800 7454 480 7566 -rect 583520 7454 584800 7566 -rect -800 6272 480 6384 -rect 583520 6272 584800 6384 -rect -800 5090 480 5202 -rect 583520 5090 584800 5202 -rect -800 3908 480 4020 -rect 583520 3908 584800 4020 -rect -800 2726 480 2838 -rect 583520 2726 584800 2838 -rect -800 1544 480 1656 -rect 583520 1544 584800 1656 -<< rmetal3 >> -rect 170894 700738 173094 700788 -rect 173394 700736 175594 700786 -rect 222594 700786 224794 700836 -rect 225094 700796 227294 700846 -rect 324294 701080 326494 701130 -rect 326794 701100 328994 701150 -rect 660 462398 780 462510 -rect 676 419176 738 419288 -rect 583220 500050 583318 500162 -rect 583180 455628 583296 455740 -<< via3 >> -rect 170894 684327 173094 690603 -rect 173394 684327 175594 690603 -rect 222594 684360 224794 690636 -rect 225094 684360 227294 690636 -rect 324294 684344 326494 690618 -rect 318994 643740 323994 649497 -rect 329294 643740 334294 649497 -rect 510594 684332 515394 690564 -rect 510594 631780 515394 637598 -rect 520594 684332 525394 690564 -rect 560566 639784 566742 644584 -rect 520594 631780 525394 637598 -rect 560566 629784 566742 634584 -rect 357538 628057 359388 629399 -rect 341739 619574 341849 619684 -rect 533095 619583 533159 619647 -rect 533111 619218 533175 619282 -rect 341738 618520 341850 618632 -rect 13894 462398 17564 462510 -rect 13887 419176 17599 419288 -rect 533894 619583 533958 619647 -rect 533904 619218 533968 619282 -rect 556229 550562 562346 555362 -rect 556229 540562 562346 545362 -rect 573548 500050 576743 500162 -rect 573556 455628 576731 455740 -rect 13991 191430 17427 196230 -rect 573605 191430 576629 196230 -<< metal4 >> -rect 165594 702300 170594 704800 -rect 175894 702300 180894 704800 -rect 217294 702300 222294 704800 -rect 227594 702300 232594 704800 -rect 318994 702300 323994 704800 -rect 329294 702300 334294 704800 -rect 170628 690636 526162 690737 -rect 170628 690603 222594 690636 -rect 170628 684327 170894 690603 -rect 173094 684327 173394 690603 -rect 175594 684360 222594 690603 -rect 224794 684360 225094 690636 -rect 227294 690618 526162 690636 -rect 227294 684360 324294 690618 -rect 175594 684344 324294 684360 -rect 326494 690564 526162 690618 -rect 326494 684344 510594 690564 -rect 175594 684332 510594 684344 -rect 515394 684332 520594 690564 -rect 525394 684332 526162 690564 -rect 175594 684327 526162 684332 -rect 170628 684183 526162 684327 -rect 318330 649837 359973 649898 -rect 318330 649497 357559 649837 -rect 318330 643740 318994 649497 -rect 323994 643740 329294 649497 -rect 334294 643740 357559 649497 -rect 318330 643394 357559 643740 -rect 359314 643394 359973 649837 -rect 318330 643344 359973 643394 -rect 560425 644584 566979 644980 -rect 560425 639784 560566 644584 -rect 566742 639784 566979 644584 -rect 356144 637598 525696 637898 -rect 356144 631780 510594 637598 -rect 515394 631780 520594 637598 -rect 525394 631780 525696 637598 -rect 356144 631344 525696 631780 -rect 560425 634584 566979 639784 -rect 357442 629399 359470 631344 -rect 357442 628057 357538 629399 -rect 359388 628057 359470 629399 -rect 357442 619873 359470 628057 -rect 560425 629784 560566 634584 -rect 566742 629784 566979 634584 -rect 341738 619684 341850 619685 -rect 341738 619574 341739 619684 -rect 341849 619574 341850 619684 -rect 341738 618633 341850 619574 -rect 356867 619473 359885 619873 -rect 533094 619647 533160 619648 -rect 533094 619583 533095 619647 -rect 533159 619645 533160 619647 -rect 533893 619647 533959 619648 -rect 533893 619645 533894 619647 -rect 533159 619585 533894 619645 -rect 533159 619583 533160 619585 -rect 533094 619582 533160 619583 -rect 533893 619583 533894 619585 -rect 533958 619583 533959 619647 -rect 533893 619582 533959 619583 -rect 533110 619282 533176 619283 -rect 533110 619218 533111 619282 -rect 533175 619280 533176 619282 -rect 533903 619282 533969 619283 -rect 533903 619280 533904 619282 -rect 533175 619220 533904 619280 -rect 533175 619218 533176 619220 -rect 533110 619217 533176 619218 -rect 533903 619218 533904 619220 -rect 533968 619218 533969 619282 -rect 533903 619217 533969 619218 -rect 341737 618632 341851 618633 -rect 341737 618520 341738 618632 -rect 341850 618520 341851 618632 -rect 341737 618519 341851 618520 -rect 345773 613756 346828 618849 -rect 351928 617829 353757 618856 -rect 351928 615249 352028 617829 -rect 353603 615249 353757 617829 -rect 351928 615131 353757 615249 -rect 363328 617835 365157 618884 -rect 363328 615255 363412 617835 -rect 364987 615255 365157 617835 -rect 363328 615131 365157 615255 -rect 369823 613756 370980 618859 -rect 560425 613756 566979 629784 -rect 345256 607202 566979 613756 -rect 362658 601572 562613 601756 -rect 362658 597231 363414 601572 -rect 364992 597231 562613 601572 -rect 362658 595202 562613 597231 -rect 556059 555362 562613 595202 -rect 556059 550562 556229 555362 -rect 562346 550562 562613 555362 -rect 556059 545362 562613 550562 -rect 556059 540562 556229 545362 -rect 562346 540562 562613 545362 -rect 556059 540155 562613 540562 -rect 573464 500162 576816 500473 -rect 573464 500050 573548 500162 -rect 576743 500050 576816 500162 -rect 13814 462510 17684 462771 -rect 13814 462398 13894 462510 -rect 17564 462398 17684 462510 -rect 13814 419288 17684 462398 -rect 13814 419176 13887 419288 -rect 17599 419176 17684 419288 -rect 13814 227257 17684 419176 -rect 573464 455740 576816 500050 -rect 573464 455628 573556 455740 -rect 576731 455628 576816 455740 -rect 13811 196230 17688 227257 -rect 13811 191430 13991 196230 -rect 17427 191430 17688 196230 -rect 13811 191098 17688 191430 -rect 573464 196230 576816 455628 -rect 573464 191430 573605 196230 -rect 576629 191430 576816 196230 -rect 573464 191191 576816 191430 -<< via4 >> -rect 357559 643394 359314 649837 -rect 352028 615249 353603 617829 -rect 363412 615255 364987 617835 -rect 363414 597231 364992 601572 -<< metal5 >> -rect 165594 702300 170594 704800 -rect 175894 702300 180894 704800 -rect 217294 702300 222294 704800 -rect 227594 702300 232594 704800 -rect 318994 702300 323994 704800 -rect 329294 702300 334294 704800 -rect 357521 649837 359350 649991 -rect 357521 643394 357559 649837 -rect 359314 643394 359350 649837 -rect 351918 617829 353747 617929 -rect 351918 615249 352028 617829 -rect 353603 615249 353747 617829 -rect 351918 614900 353747 615249 -rect 357521 614900 359350 643394 -rect 351918 613071 359350 614900 -rect 363318 617835 365147 617929 -rect 363318 615255 363412 617835 -rect 364987 615255 365147 617835 -rect 363318 601572 365147 615255 -rect 363318 597231 363414 601572 -rect 364992 597231 365147 601572 -rect 363318 597052 365147 597231 -<< comment >> -rect -100 704000 584100 704100 -rect -100 0 0 704000 -rect 584000 0 584100 704000 -rect -100 -100 584100 0 -use user_analog_proj_example user_analog_proj_example_0 -timestamp 1639841760 -transform 1 0 345668 0 -1 627114 -box -59 -22 25476 8324 -<< labels >> -flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0] -port 0 nsew signal bidirectional -flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10] -port 1 nsew signal bidirectional -flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11] -port 2 nsew signal bidirectional -flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12] -port 3 nsew signal bidirectional -flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13] -port 4 nsew signal bidirectional -flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14] -port 5 nsew signal bidirectional -flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15] -port 6 nsew signal bidirectional -flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16] -port 7 nsew signal bidirectional -flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17] -port 8 nsew signal bidirectional -flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1] -port 9 nsew signal bidirectional -flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2] -port 10 nsew signal bidirectional -flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3] -port 11 nsew signal bidirectional -flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4] -port 12 nsew signal bidirectional -flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5] -port 13 nsew signal bidirectional -flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6] -port 14 nsew signal bidirectional -flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7] -port 15 nsew signal bidirectional -flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8] -port 16 nsew signal bidirectional -flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9] -port 17 nsew signal bidirectional -flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0] -port 18 nsew signal bidirectional -flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10] -port 19 nsew signal bidirectional -flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11] -port 20 nsew signal bidirectional -flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12] -port 21 nsew signal bidirectional -flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13] -port 22 nsew signal bidirectional -flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14] -port 23 nsew signal bidirectional -flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15] -port 24 nsew signal bidirectional -flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16] -port 25 nsew signal bidirectional -flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17] -port 26 nsew signal bidirectional -flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1] -port 27 nsew signal bidirectional -flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2] -port 28 nsew signal bidirectional -flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3] -port 29 nsew signal bidirectional -flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4] -port 30 nsew signal bidirectional -flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5] -port 31 nsew signal bidirectional -flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6] -port 32 nsew signal bidirectional -flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7] -port 33 nsew signal bidirectional -flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8] -port 34 nsew signal bidirectional -flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9] -port 35 nsew signal bidirectional -flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0] -port 36 nsew signal bidirectional -flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10] -port 37 nsew signal bidirectional -flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1] -port 38 nsew signal bidirectional -flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2] -port 39 nsew signal bidirectional -flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3] -port 40 nsew signal bidirectional -flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7] -port 44 nsew signal bidirectional -flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8] -port 45 nsew signal bidirectional -flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9] -port 46 nsew signal bidirectional -flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0] -port 50 nsew signal bidirectional -flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1] -port 51 nsew signal bidirectional -flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2] -port 52 nsew signal bidirectional -flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0] -port 53 nsew signal bidirectional -flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1] -port 54 nsew signal bidirectional -flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2] -port 55 nsew signal bidirectional -flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0] -port 56 nsew signal input -flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10] -port 57 nsew signal input -flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11] -port 58 nsew signal input -flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12] -port 59 nsew signal input -flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13] -port 60 nsew signal input -flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14] -port 61 nsew signal input -flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15] -port 62 nsew signal input -flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16] -port 63 nsew signal input -flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17] -port 64 nsew signal input -flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18] -port 65 nsew signal input -flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19] -port 66 nsew signal input -flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1] -port 67 nsew signal input -flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20] -port 68 nsew signal input -flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21] -port 69 nsew signal input -flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22] -port 70 nsew signal input -flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23] -port 71 nsew signal input -flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24] -port 72 nsew signal input -flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25] -port 73 nsew signal input -flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26] -port 74 nsew signal input -flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2] -port 75 nsew signal input -flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3] -port 76 nsew signal input -flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4] -port 77 nsew signal input -flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5] -port 78 nsew signal input -flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6] -port 79 nsew signal input -flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7] -port 80 nsew signal input -flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8] -port 81 nsew signal input -flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9] -port 82 nsew signal input -flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0] -port 83 nsew signal input -flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10] -port 84 nsew signal input -flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11] -port 85 nsew signal input -flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12] -port 86 nsew signal input -flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13] -port 87 nsew signal input -flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14] -port 88 nsew signal input -flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15] -port 89 nsew signal input -flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16] -port 90 nsew signal input -flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17] -port 91 nsew signal input -flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18] -port 92 nsew signal input -flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19] -port 93 nsew signal input -flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1] -port 94 nsew signal input -flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20] -port 95 nsew signal input -flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21] -port 96 nsew signal input -flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22] -port 97 nsew signal input -flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23] -port 98 nsew signal input -flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24] -port 99 nsew signal input -flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25] -port 100 nsew signal input -flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26] -port 101 nsew signal input -flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2] -port 102 nsew signal input -flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3] -port 103 nsew signal input -flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4] -port 104 nsew signal input -flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5] -port 105 nsew signal input -flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6] -port 106 nsew signal input -flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7] -port 107 nsew signal input -flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8] -port 108 nsew signal input -flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9] -port 109 nsew signal input -flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0] -port 110 nsew signal tristate -flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10] -port 111 nsew signal tristate -flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11] -port 112 nsew signal tristate -flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12] -port 113 nsew signal tristate -flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13] -port 114 nsew signal tristate -flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14] -port 115 nsew signal tristate -flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15] -port 116 nsew signal tristate -flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16] -port 117 nsew signal tristate -flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17] -port 118 nsew signal tristate -flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18] -port 119 nsew signal tristate -flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19] -port 120 nsew signal tristate -flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1] -port 121 nsew signal tristate -flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20] -port 122 nsew signal tristate -flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21] -port 123 nsew signal tristate -flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22] -port 124 nsew signal tristate -flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23] -port 125 nsew signal tristate -flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24] -port 126 nsew signal tristate -flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25] -port 127 nsew signal tristate -flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26] -port 128 nsew signal tristate -flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2] -port 129 nsew signal tristate -flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3] -port 130 nsew signal tristate -flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4] -port 131 nsew signal tristate -flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5] -port 132 nsew signal tristate -flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6] -port 133 nsew signal tristate -flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7] -port 134 nsew signal tristate -flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8] -port 135 nsew signal tristate -flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9] -port 136 nsew signal tristate -flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0] -port 137 nsew signal tristate -flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10] -port 138 nsew signal tristate -flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11] -port 139 nsew signal tristate -flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12] -port 140 nsew signal tristate -flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13] -port 141 nsew signal tristate -flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14] -port 142 nsew signal tristate -flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15] -port 143 nsew signal tristate -flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16] -port 144 nsew signal tristate -flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17] -port 145 nsew signal tristate -flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18] -port 146 nsew signal tristate -flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19] -port 147 nsew signal tristate -flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1] -port 148 nsew signal tristate -flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20] -port 149 nsew signal tristate -flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21] -port 150 nsew signal tristate -flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22] -port 151 nsew signal tristate -flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23] -port 152 nsew signal tristate -flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24] -port 153 nsew signal tristate -flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25] -port 154 nsew signal tristate -flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26] -port 155 nsew signal tristate -flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2] -port 156 nsew signal tristate -flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3] -port 157 nsew signal tristate -flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4] -port 158 nsew signal tristate -flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5] -port 159 nsew signal tristate -flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6] -port 160 nsew signal tristate -flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7] -port 161 nsew signal tristate -flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8] -port 162 nsew signal tristate -flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9] -port 163 nsew signal tristate -flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0] -port 164 nsew signal input -flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100] -port 165 nsew signal input -flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101] -port 166 nsew signal input -flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102] -port 167 nsew signal input -flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103] -port 168 nsew signal input -flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104] -port 169 nsew signal input -flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105] -port 170 nsew signal input -flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106] -port 171 nsew signal input -flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107] -port 172 nsew signal input -flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108] -port 173 nsew signal input -flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109] -port 174 nsew signal input -flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10] -port 175 nsew signal input -flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110] -port 176 nsew signal input -flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111] -port 177 nsew signal input -flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112] -port 178 nsew signal input -flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113] -port 179 nsew signal input -flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114] -port 180 nsew signal input -flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115] -port 181 nsew signal input -flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116] -port 182 nsew signal input -flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117] -port 183 nsew signal input -flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118] -port 184 nsew signal input -flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119] -port 185 nsew signal input -flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11] -port 186 nsew signal input -flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120] -port 187 nsew signal input -flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121] -port 188 nsew signal input -flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122] -port 189 nsew signal input -flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123] -port 190 nsew signal input -flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124] -port 191 nsew signal input -flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125] -port 192 nsew signal input -flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126] -port 193 nsew signal input -flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127] -port 194 nsew signal input -flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12] -port 195 nsew signal input -flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13] -port 196 nsew signal input -flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14] -port 197 nsew signal input -flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15] -port 198 nsew signal input -flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16] -port 199 nsew signal input -flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17] -port 200 nsew signal input -flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18] -port 201 nsew signal input -flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19] -port 202 nsew signal input -flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1] -port 203 nsew signal input -flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20] -port 204 nsew signal input -flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21] -port 205 nsew signal input -flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22] -port 206 nsew signal input -flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23] -port 207 nsew signal input -flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24] -port 208 nsew signal input -flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25] -port 209 nsew signal input -flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26] -port 210 nsew signal input -flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27] -port 211 nsew signal input -flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28] -port 212 nsew signal input -flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29] -port 213 nsew signal input -flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2] -port 214 nsew signal input -flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30] -port 215 nsew signal input -flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31] -port 216 nsew signal input -flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32] -port 217 nsew signal input -flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33] -port 218 nsew signal input -flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34] -port 219 nsew signal input -flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35] -port 220 nsew signal input -flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36] -port 221 nsew signal input -flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37] -port 222 nsew signal input -flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38] -port 223 nsew signal input -flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39] -port 224 nsew signal input -flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3] -port 225 nsew signal input -flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40] -port 226 nsew signal input -flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41] -port 227 nsew signal input -flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42] -port 228 nsew signal input -flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43] -port 229 nsew signal input -flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44] -port 230 nsew signal input -flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45] -port 231 nsew signal input -flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46] -port 232 nsew signal input -flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47] -port 233 nsew signal input -flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48] -port 234 nsew signal input -flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49] -port 235 nsew signal input -flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4] -port 236 nsew signal input -flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50] -port 237 nsew signal input -flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51] -port 238 nsew signal input -flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52] -port 239 nsew signal input -flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53] -port 240 nsew signal input -flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54] -port 241 nsew signal input -flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55] -port 242 nsew signal input -flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56] -port 243 nsew signal input -flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57] -port 244 nsew signal input -flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58] -port 245 nsew signal input -flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59] -port 246 nsew signal input -flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5] -port 247 nsew signal input -flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60] -port 248 nsew signal input -flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61] -port 249 nsew signal input -flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62] -port 250 nsew signal input -flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63] -port 251 nsew signal input -flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64] -port 252 nsew signal input -flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65] -port 253 nsew signal input -flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66] -port 254 nsew signal input -flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67] -port 255 nsew signal input -flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68] -port 256 nsew signal input -flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69] -port 257 nsew signal input -flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6] -port 258 nsew signal input -flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70] -port 259 nsew signal input -flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71] -port 260 nsew signal input -flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72] -port 261 nsew signal input -flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73] -port 262 nsew signal input -flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74] -port 263 nsew signal input -flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75] -port 264 nsew signal input -flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76] -port 265 nsew signal input -flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77] -port 266 nsew signal input -flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78] -port 267 nsew signal input -flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79] -port 268 nsew signal input -flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7] -port 269 nsew signal input -flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80] -port 270 nsew signal input -flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81] -port 271 nsew signal input -flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82] -port 272 nsew signal input -flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83] -port 273 nsew signal input -flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84] -port 274 nsew signal input -flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85] -port 275 nsew signal input -flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86] -port 276 nsew signal input -flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87] -port 277 nsew signal input -flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88] -port 278 nsew signal input -flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89] -port 279 nsew signal input -flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8] -port 280 nsew signal input -flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90] -port 281 nsew signal input -flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91] -port 282 nsew signal input -flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92] -port 283 nsew signal input -flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93] -port 284 nsew signal input -flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94] -port 285 nsew signal input -flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95] -port 286 nsew signal input -flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96] -port 287 nsew signal input -flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97] -port 288 nsew signal input -flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98] -port 289 nsew signal input -flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99] -port 290 nsew signal input -flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9] -port 291 nsew signal input -flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0] -port 292 nsew signal tristate -flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100] -port 293 nsew signal tristate -flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101] -port 294 nsew signal tristate -flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102] -port 295 nsew signal tristate -flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103] -port 296 nsew signal tristate -flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104] -port 297 nsew signal tristate -flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105] -port 298 nsew signal tristate -flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106] -port 299 nsew signal tristate -flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107] -port 300 nsew signal tristate -flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108] -port 301 nsew signal tristate -flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109] -port 302 nsew signal tristate -flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10] -port 303 nsew signal tristate -flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110] -port 304 nsew signal tristate -flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111] -port 305 nsew signal tristate -flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112] -port 306 nsew signal tristate -flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113] -port 307 nsew signal tristate -flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114] -port 308 nsew signal tristate -flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115] -port 309 nsew signal tristate -flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116] -port 310 nsew signal tristate -flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117] -port 311 nsew signal tristate -flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118] -port 312 nsew signal tristate -flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119] -port 313 nsew signal tristate -flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11] -port 314 nsew signal tristate -flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120] -port 315 nsew signal tristate -flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121] -port 316 nsew signal tristate -flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122] -port 317 nsew signal tristate -flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123] -port 318 nsew signal tristate -flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124] -port 319 nsew signal tristate -flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125] -port 320 nsew signal tristate -flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126] -port 321 nsew signal tristate -flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127] -port 322 nsew signal tristate -flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12] -port 323 nsew signal tristate -flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13] -port 324 nsew signal tristate -flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14] -port 325 nsew signal tristate -flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15] -port 326 nsew signal tristate -flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16] -port 327 nsew signal tristate -flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17] -port 328 nsew signal tristate -flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18] -port 329 nsew signal tristate -flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19] -port 330 nsew signal tristate -flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1] -port 331 nsew signal tristate -flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20] -port 332 nsew signal tristate -flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21] -port 333 nsew signal tristate -flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22] -port 334 nsew signal tristate -flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23] -port 335 nsew signal tristate -flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24] -port 336 nsew signal tristate -flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25] -port 337 nsew signal tristate -flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26] -port 338 nsew signal tristate -flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27] -port 339 nsew signal tristate -flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28] -port 340 nsew signal tristate -flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29] -port 341 nsew signal tristate -flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2] -port 342 nsew signal tristate -flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30] -port 343 nsew signal tristate -flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31] -port 344 nsew signal tristate -flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32] -port 345 nsew signal tristate -flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33] -port 346 nsew signal tristate -flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34] -port 347 nsew signal tristate -flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35] -port 348 nsew signal tristate -flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36] -port 349 nsew signal tristate -flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37] -port 350 nsew signal tristate -flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38] -port 351 nsew signal tristate -flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39] -port 352 nsew signal tristate -flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3] -port 353 nsew signal tristate -flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40] -port 354 nsew signal tristate -flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41] -port 355 nsew signal tristate -flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42] -port 356 nsew signal tristate -flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43] -port 357 nsew signal tristate -flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44] -port 358 nsew signal tristate -flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45] -port 359 nsew signal tristate -flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46] -port 360 nsew signal tristate -flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47] -port 361 nsew signal tristate -flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48] -port 362 nsew signal tristate -flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49] -port 363 nsew signal tristate -flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4] -port 364 nsew signal tristate -flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50] -port 365 nsew signal tristate -flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51] -port 366 nsew signal tristate -flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52] -port 367 nsew signal tristate -flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53] -port 368 nsew signal tristate -flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54] -port 369 nsew signal tristate -flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55] -port 370 nsew signal tristate -flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56] -port 371 nsew signal tristate -flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57] -port 372 nsew signal tristate -flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58] -port 373 nsew signal tristate -flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59] -port 374 nsew signal tristate -flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5] -port 375 nsew signal tristate -flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60] -port 376 nsew signal tristate -flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61] -port 377 nsew signal tristate -flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62] -port 378 nsew signal tristate -flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63] -port 379 nsew signal tristate -flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64] -port 380 nsew signal tristate -flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65] -port 381 nsew signal tristate -flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66] -port 382 nsew signal tristate -flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67] -port 383 nsew signal tristate -flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68] -port 384 nsew signal tristate -flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69] -port 385 nsew signal tristate -flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6] -port 386 nsew signal tristate -flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70] -port 387 nsew signal tristate -flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71] -port 388 nsew signal tristate -flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72] -port 389 nsew signal tristate -flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73] -port 390 nsew signal tristate -flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74] -port 391 nsew signal tristate -flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75] -port 392 nsew signal tristate -flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76] -port 393 nsew signal tristate -flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77] -port 394 nsew signal tristate -flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78] -port 395 nsew signal tristate -flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79] -port 396 nsew signal tristate -flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7] -port 397 nsew signal tristate -flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80] -port 398 nsew signal tristate -flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81] -port 399 nsew signal tristate -flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82] -port 400 nsew signal tristate -flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83] -port 401 nsew signal tristate -flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84] -port 402 nsew signal tristate -flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85] -port 403 nsew signal tristate -flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86] -port 404 nsew signal tristate -flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87] -port 405 nsew signal tristate -flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88] -port 406 nsew signal tristate -flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89] -port 407 nsew signal tristate -flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8] -port 408 nsew signal tristate -flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90] -port 409 nsew signal tristate -flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91] -port 410 nsew signal tristate -flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92] -port 411 nsew signal tristate -flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93] -port 412 nsew signal tristate -flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94] -port 413 nsew signal tristate -flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95] -port 414 nsew signal tristate -flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96] -port 415 nsew signal tristate -flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97] -port 416 nsew signal tristate -flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98] -port 417 nsew signal tristate -flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99] -port 418 nsew signal tristate -flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9] -port 419 nsew signal tristate -flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0] -port 420 nsew signal input -flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100] -port 421 nsew signal input -flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101] -port 422 nsew signal input -flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102] -port 423 nsew signal input -flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103] -port 424 nsew signal input -flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104] -port 425 nsew signal input -flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105] -port 426 nsew signal input -flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106] -port 427 nsew signal input -flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107] -port 428 nsew signal input -flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108] -port 429 nsew signal input -flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109] -port 430 nsew signal input -flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10] -port 431 nsew signal input -flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110] -port 432 nsew signal input -flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111] -port 433 nsew signal input -flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112] -port 434 nsew signal input -flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113] -port 435 nsew signal input -flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114] -port 436 nsew signal input -flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115] -port 437 nsew signal input -flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116] -port 438 nsew signal input -flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117] -port 439 nsew signal input -flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118] -port 440 nsew signal input -flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119] -port 441 nsew signal input -flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11] -port 442 nsew signal input -flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120] -port 443 nsew signal input -flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121] -port 444 nsew signal input -flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122] -port 445 nsew signal input -flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123] -port 446 nsew signal input -flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124] -port 447 nsew signal input -flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125] -port 448 nsew signal input -flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126] -port 449 nsew signal input -flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127] -port 450 nsew signal input -flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12] -port 451 nsew signal input -flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13] -port 452 nsew signal input -flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14] -port 453 nsew signal input -flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15] -port 454 nsew signal input -flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16] -port 455 nsew signal input -flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17] -port 456 nsew signal input -flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18] -port 457 nsew signal input -flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19] -port 458 nsew signal input -flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1] -port 459 nsew signal input -flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20] -port 460 nsew signal input -flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21] -port 461 nsew signal input -flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22] -port 462 nsew signal input -flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23] -port 463 nsew signal input -flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24] -port 464 nsew signal input -flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25] -port 465 nsew signal input -flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26] -port 466 nsew signal input -flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27] -port 467 nsew signal input -flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28] -port 468 nsew signal input -flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29] -port 469 nsew signal input -flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2] -port 470 nsew signal input -flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30] -port 471 nsew signal input -flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31] -port 472 nsew signal input -flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32] -port 473 nsew signal input -flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33] -port 474 nsew signal input -flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34] -port 475 nsew signal input -flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35] -port 476 nsew signal input -flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36] -port 477 nsew signal input -flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37] -port 478 nsew signal input -flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38] -port 479 nsew signal input -flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39] -port 480 nsew signal input -flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3] -port 481 nsew signal input -flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40] -port 482 nsew signal input -flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41] -port 483 nsew signal input -flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42] -port 484 nsew signal input -flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43] -port 485 nsew signal input -flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44] -port 486 nsew signal input -flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45] -port 487 nsew signal input -flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46] -port 488 nsew signal input -flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47] -port 489 nsew signal input -flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48] -port 490 nsew signal input -flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49] -port 491 nsew signal input -flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4] -port 492 nsew signal input -flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50] -port 493 nsew signal input -flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51] -port 494 nsew signal input -flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52] -port 495 nsew signal input -flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53] -port 496 nsew signal input -flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54] -port 497 nsew signal input -flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55] -port 498 nsew signal input -flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56] -port 499 nsew signal input -flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57] -port 500 nsew signal input -flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58] -port 501 nsew signal input -flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59] -port 502 nsew signal input -flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5] -port 503 nsew signal input -flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60] -port 504 nsew signal input -flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61] -port 505 nsew signal input -flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62] -port 506 nsew signal input -flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63] -port 507 nsew signal input -flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64] -port 508 nsew signal input -flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65] -port 509 nsew signal input -flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66] -port 510 nsew signal input -flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67] -port 511 nsew signal input -flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68] -port 512 nsew signal input -flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69] -port 513 nsew signal input -flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6] -port 514 nsew signal input -flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70] -port 515 nsew signal input -flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71] -port 516 nsew signal input -flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72] -port 517 nsew signal input -flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73] -port 518 nsew signal input -flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74] -port 519 nsew signal input -flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75] -port 520 nsew signal input -flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76] -port 521 nsew signal input -flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77] -port 522 nsew signal input -flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78] -port 523 nsew signal input -flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79] -port 524 nsew signal input -flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7] -port 525 nsew signal input -flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80] -port 526 nsew signal input -flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81] -port 527 nsew signal input -flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82] -port 528 nsew signal input -flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83] -port 529 nsew signal input -flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84] -port 530 nsew signal input -flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85] -port 531 nsew signal input -flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86] -port 532 nsew signal input -flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87] -port 533 nsew signal input -flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88] -port 534 nsew signal input -flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89] -port 535 nsew signal input -flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8] -port 536 nsew signal input -flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90] -port 537 nsew signal input -flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91] -port 538 nsew signal input -flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92] -port 539 nsew signal input -flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93] -port 540 nsew signal input -flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94] -port 541 nsew signal input -flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95] -port 542 nsew signal input -flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96] -port 543 nsew signal input -flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97] -port 544 nsew signal input -flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98] -port 545 nsew signal input -flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99] -port 546 nsew signal input -flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9] -port 547 nsew signal input -flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2 -port 548 nsew signal input -flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0] -port 549 nsew signal tristate -flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1] -port 550 nsew signal tristate -flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2] -port 551 nsew signal tristate -flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1 -port 552 nsew signal bidirectional -flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1 -port 553 nsew signal bidirectional -flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2 -port 554 nsew signal bidirectional -flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2 -port 555 nsew signal bidirectional -flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1 -port 556 nsew signal bidirectional -flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1 -port 557 nsew signal bidirectional -flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1 -port 558 nsew signal bidirectional -flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1 -port 559 nsew signal bidirectional -flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2 -port 560 nsew signal bidirectional -flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2 -port 561 nsew signal bidirectional -flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1 -port 562 nsew signal bidirectional -flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1 -port 563 nsew signal bidirectional -flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1 -port 564 nsew signal bidirectional -flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1 -port 565 nsew signal bidirectional -flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2 -port 566 nsew signal bidirectional -flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2 -port 567 nsew signal bidirectional -flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1 -port 568 nsew signal bidirectional -flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1 -port 569 nsew signal bidirectional -flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2 -port 570 nsew signal bidirectional -flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2 -port 571 nsew signal bidirectional -flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i -port 572 nsew signal input -flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i -port 573 nsew signal input -flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o -port 574 nsew signal tristate -flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0] -port 575 nsew signal input -flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10] -port 576 nsew signal input -flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11] -port 577 nsew signal input -flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12] -port 578 nsew signal input -flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13] -port 579 nsew signal input -flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14] -port 580 nsew signal input -flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15] -port 581 nsew signal input -flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16] -port 582 nsew signal input -flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17] -port 583 nsew signal input -flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18] -port 584 nsew signal input -flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19] -port 585 nsew signal input -flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1] -port 586 nsew signal input -flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20] -port 587 nsew signal input -flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21] -port 588 nsew signal input -flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22] -port 589 nsew signal input -flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23] -port 590 nsew signal input -flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24] -port 591 nsew signal input -flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25] -port 592 nsew signal input -flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26] -port 593 nsew signal input -flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27] -port 594 nsew signal input -flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28] -port 595 nsew signal input -flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29] -port 596 nsew signal input -flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2] -port 597 nsew signal input -flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30] -port 598 nsew signal input -flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31] -port 599 nsew signal input -flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3] -port 600 nsew signal input -flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4] -port 601 nsew signal input -flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5] -port 602 nsew signal input -flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6] -port 603 nsew signal input -flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7] -port 604 nsew signal input -flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8] -port 605 nsew signal input -flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9] -port 606 nsew signal input -flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i -port 607 nsew signal input -flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0] -port 608 nsew signal input -flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10] -port 609 nsew signal input -flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11] -port 610 nsew signal input -flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12] -port 611 nsew signal input -flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13] -port 612 nsew signal input -flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14] -port 613 nsew signal input -flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15] -port 614 nsew signal input -flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16] -port 615 nsew signal input -flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17] -port 616 nsew signal input -flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18] -port 617 nsew signal input -flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19] -port 618 nsew signal input -flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1] -port 619 nsew signal input -flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20] -port 620 nsew signal input -flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21] -port 621 nsew signal input -flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22] -port 622 nsew signal input -flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23] -port 623 nsew signal input -flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24] -port 624 nsew signal input -flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25] -port 625 nsew signal input -flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26] -port 626 nsew signal input -flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27] -port 627 nsew signal input -flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28] -port 628 nsew signal input -flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29] -port 629 nsew signal input -flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2] -port 630 nsew signal input -flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30] -port 631 nsew signal input -flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31] -port 632 nsew signal input -flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3] -port 633 nsew signal input -flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4] -port 634 nsew signal input -flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5] -port 635 nsew signal input -flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6] -port 636 nsew signal input -flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7] -port 637 nsew signal input -flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8] -port 638 nsew signal input -flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9] -port 639 nsew signal input -flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0] -port 640 nsew signal tristate -flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10] -port 641 nsew signal tristate -flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11] -port 642 nsew signal tristate -flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12] -port 643 nsew signal tristate -flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13] -port 644 nsew signal tristate -flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14] -port 645 nsew signal tristate -flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15] -port 646 nsew signal tristate -flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16] -port 647 nsew signal tristate -flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17] -port 648 nsew signal tristate -flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18] -port 649 nsew signal tristate -flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19] -port 650 nsew signal tristate -flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1] -port 651 nsew signal tristate -flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20] -port 652 nsew signal tristate -flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21] -port 653 nsew signal tristate -flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22] -port 654 nsew signal tristate -flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23] -port 655 nsew signal tristate -flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24] -port 656 nsew signal tristate -flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25] -port 657 nsew signal tristate -flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26] -port 658 nsew signal tristate -flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27] -port 659 nsew signal tristate -flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28] -port 660 nsew signal tristate -flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29] -port 661 nsew signal tristate -flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2] -port 662 nsew signal tristate -flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30] -port 663 nsew signal tristate -flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31] -port 664 nsew signal tristate -flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3] -port 665 nsew signal tristate -flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4] -port 666 nsew signal tristate -flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5] -port 667 nsew signal tristate -flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6] -port 668 nsew signal tristate -flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7] -port 669 nsew signal tristate -flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8] -port 670 nsew signal tristate -flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9] -port 671 nsew signal tristate -flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0] -port 672 nsew signal input -flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1] -port 673 nsew signal input -flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2] -port 674 nsew signal input -flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3] -port 675 nsew signal input -flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i -port 676 nsew signal input -flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i -port 677 nsew signal input -flabel metal3 572152 640142 580220 644150 0 FreeSans 16000 0 0 0 VCCD1 -flabel metal3 567038 550960 577302 554546 0 FreeSans 16000 0 0 0 VDDA1 -flabel metal3 511190 664896 514962 676272 0 FreeSans 16000 90 0 0 VSSA1 -flabel metal3 561703 191929 571721 195859 0 FreeSans 16000 0 0 0 VSSD1 -<< properties >> -string FIXED_BBOX 0 0 584000 704000 -<< end >> diff --git a/mag/user_analog_project_wrapper_empty.mag b/mag/user_analog_project_wrapper_empty.mag deleted file mode 100644 index 0dc5bd5..0000000 --- a/mag/user_analog_project_wrapper_empty.mag +++ /dev/null @@ -1,2091 +0,0 @@ -magic -tech $PDK -timestamp 1632839657 -<< checkpaint >> -rect -680 351370 292680 352680 -rect -680 630 630 351370 -rect 291370 630 292680 351370 -rect -680 -680 292680 630 -<< metal2 >> -rect 262 -400 318 240 -rect 853 -400 909 240 -rect 1444 -400 1500 240 -rect 2035 -400 2091 240 -rect 2626 -400 2682 240 -rect 3217 -400 3273 240 -rect 3808 -400 3864 240 -rect 4399 -400 4455 240 -rect 4990 -400 5046 240 -rect 5581 -400 5637 240 -rect 6172 -400 6228 240 -rect 6763 -400 6819 240 -rect 7354 -400 7410 240 -rect 7945 -400 8001 240 -rect 8536 -400 8592 240 -rect 9127 -400 9183 240 -rect 9718 -400 9774 240 -rect 10309 -400 10365 240 -rect 10900 -400 10956 240 -rect 11491 -400 11547 240 -rect 12082 -400 12138 240 -rect 12673 -400 12729 240 -rect 13264 -400 13320 240 -rect 13855 -400 13911 240 -rect 14446 -400 14502 240 -rect 15037 -400 15093 240 -rect 15628 -400 15684 240 -rect 16219 -400 16275 240 -rect 16810 -400 16866 240 -rect 17401 -400 17457 240 -rect 17992 -400 18048 240 -rect 18583 -400 18639 240 -rect 19174 -400 19230 240 -rect 19765 -400 19821 240 -rect 20356 -400 20412 240 -rect 20947 -400 21003 240 -rect 21538 -400 21594 240 -rect 22129 -400 22185 240 -rect 22720 -400 22776 240 -rect 23311 -400 23367 240 -rect 23902 -400 23958 240 -rect 24493 -400 24549 240 -rect 25084 -400 25140 240 -rect 25675 -400 25731 240 -rect 26266 -400 26322 240 -rect 26857 -400 26913 240 -rect 27448 -400 27504 240 -rect 28039 -400 28095 240 -rect 28630 -400 28686 240 -rect 29221 -400 29277 240 -rect 29812 -400 29868 240 -rect 30403 -400 30459 240 -rect 30994 -400 31050 240 -rect 31585 -400 31641 240 -rect 32176 -400 32232 240 -rect 32767 -400 32823 240 -rect 33358 -400 33414 240 -rect 33949 -400 34005 240 -rect 34540 -400 34596 240 -rect 35131 -400 35187 240 -rect 35722 -400 35778 240 -rect 36313 -400 36369 240 -rect 36904 -400 36960 240 -rect 37495 -400 37551 240 -rect 38086 -400 38142 240 -rect 38677 -400 38733 240 -rect 39268 -400 39324 240 -rect 39859 -400 39915 240 -rect 40450 -400 40506 240 -rect 41041 -400 41097 240 -rect 41632 -400 41688 240 -rect 42223 -400 42279 240 -rect 42814 -400 42870 240 -rect 43405 -400 43461 240 -rect 43996 -400 44052 240 -rect 44587 -400 44643 240 -rect 45178 -400 45234 240 -rect 45769 -400 45825 240 -rect 46360 -400 46416 240 -rect 46951 -400 47007 240 -rect 47542 -400 47598 240 -rect 48133 -400 48189 240 -rect 48724 -400 48780 240 -rect 49315 -400 49371 240 -rect 49906 -400 49962 240 -rect 50497 -400 50553 240 -rect 51088 -400 51144 240 -rect 51679 -400 51735 240 -rect 52270 -400 52326 240 -rect 52861 -400 52917 240 -rect 53452 -400 53508 240 -rect 54043 -400 54099 240 -rect 54634 -400 54690 240 -rect 55225 -400 55281 240 -rect 55816 -400 55872 240 -rect 56407 -400 56463 240 -rect 56998 -400 57054 240 -rect 57589 -400 57645 240 -rect 58180 -400 58236 240 -rect 58771 -400 58827 240 -rect 59362 -400 59418 240 -rect 59953 -400 60009 240 -rect 60544 -400 60600 240 -rect 61135 -400 61191 240 -rect 61726 -400 61782 240 -rect 62317 -400 62373 240 -rect 62908 -400 62964 240 -rect 63499 -400 63555 240 -rect 64090 -400 64146 240 -rect 64681 -400 64737 240 -rect 65272 -400 65328 240 -rect 65863 -400 65919 240 -rect 66454 -400 66510 240 -rect 67045 -400 67101 240 -rect 67636 -400 67692 240 -rect 68227 -400 68283 240 -rect 68818 -400 68874 240 -rect 69409 -400 69465 240 -rect 70000 -400 70056 240 -rect 70591 -400 70647 240 -rect 71182 -400 71238 240 -rect 71773 -400 71829 240 -rect 72364 -400 72420 240 -rect 72955 -400 73011 240 -rect 73546 -400 73602 240 -rect 74137 -400 74193 240 -rect 74728 -400 74784 240 -rect 75319 -400 75375 240 -rect 75910 -400 75966 240 -rect 76501 -400 76557 240 -rect 77092 -400 77148 240 -rect 77683 -400 77739 240 -rect 78274 -400 78330 240 -rect 78865 -400 78921 240 -rect 79456 -400 79512 240 -rect 80047 -400 80103 240 -rect 80638 -400 80694 240 -rect 81229 -400 81285 240 -rect 81820 -400 81876 240 -rect 82411 -400 82467 240 -rect 83002 -400 83058 240 -rect 83593 -400 83649 240 -rect 84184 -400 84240 240 -rect 84775 -400 84831 240 -rect 85366 -400 85422 240 -rect 85957 -400 86013 240 -rect 86548 -400 86604 240 -rect 87139 -400 87195 240 -rect 87730 -400 87786 240 -rect 88321 -400 88377 240 -rect 88912 -400 88968 240 -rect 89503 -400 89559 240 -rect 90094 -400 90150 240 -rect 90685 -400 90741 240 -rect 91276 -400 91332 240 -rect 91867 -400 91923 240 -rect 92458 -400 92514 240 -rect 93049 -400 93105 240 -rect 93640 -400 93696 240 -rect 94231 -400 94287 240 -rect 94822 -400 94878 240 -rect 95413 -400 95469 240 -rect 96004 -400 96060 240 -rect 96595 -400 96651 240 -rect 97186 -400 97242 240 -rect 97777 -400 97833 240 -rect 98368 -400 98424 240 -rect 98959 -400 99015 240 -rect 99550 -400 99606 240 -rect 100141 -400 100197 240 -rect 100732 -400 100788 240 -rect 101323 -400 101379 240 -rect 101914 -400 101970 240 -rect 102505 -400 102561 240 -rect 103096 -400 103152 240 -rect 103687 -400 103743 240 -rect 104278 -400 104334 240 -rect 104869 -400 104925 240 -rect 105460 -400 105516 240 -rect 106051 -400 106107 240 -rect 106642 -400 106698 240 -rect 107233 -400 107289 240 -rect 107824 -400 107880 240 -rect 108415 -400 108471 240 -rect 109006 -400 109062 240 -rect 109597 -400 109653 240 -rect 110188 -400 110244 240 -rect 110779 -400 110835 240 -rect 111370 -400 111426 240 -rect 111961 -400 112017 240 -rect 112552 -400 112608 240 -rect 113143 -400 113199 240 -rect 113734 -400 113790 240 -rect 114325 -400 114381 240 -rect 114916 -400 114972 240 -rect 115507 -400 115563 240 -rect 116098 -400 116154 240 -rect 116689 -400 116745 240 -rect 117280 -400 117336 240 -rect 117871 -400 117927 240 -rect 118462 -400 118518 240 -rect 119053 -400 119109 240 -rect 119644 -400 119700 240 -rect 120235 -400 120291 240 -rect 120826 -400 120882 240 -rect 121417 -400 121473 240 -rect 122008 -400 122064 240 -rect 122599 -400 122655 240 -rect 123190 -400 123246 240 -rect 123781 -400 123837 240 -rect 124372 -400 124428 240 -rect 124963 -400 125019 240 -rect 125554 -400 125610 240 -rect 126145 -400 126201 240 -rect 126736 -400 126792 240 -rect 127327 -400 127383 240 -rect 127918 -400 127974 240 -rect 128509 -400 128565 240 -rect 129100 -400 129156 240 -rect 129691 -400 129747 240 -rect 130282 -400 130338 240 -rect 130873 -400 130929 240 -rect 131464 -400 131520 240 -rect 132055 -400 132111 240 -rect 132646 -400 132702 240 -rect 133237 -400 133293 240 -rect 133828 -400 133884 240 -rect 134419 -400 134475 240 -rect 135010 -400 135066 240 -rect 135601 -400 135657 240 -rect 136192 -400 136248 240 -rect 136783 -400 136839 240 -rect 137374 -400 137430 240 -rect 137965 -400 138021 240 -rect 138556 -400 138612 240 -rect 139147 -400 139203 240 -rect 139738 -400 139794 240 -rect 140329 -400 140385 240 -rect 140920 -400 140976 240 -rect 141511 -400 141567 240 -rect 142102 -400 142158 240 -rect 142693 -400 142749 240 -rect 143284 -400 143340 240 -rect 143875 -400 143931 240 -rect 144466 -400 144522 240 -rect 145057 -400 145113 240 -rect 145648 -400 145704 240 -rect 146239 -400 146295 240 -rect 146830 -400 146886 240 -rect 147421 -400 147477 240 -rect 148012 -400 148068 240 -rect 148603 -400 148659 240 -rect 149194 -400 149250 240 -rect 149785 -400 149841 240 -rect 150376 -400 150432 240 -rect 150967 -400 151023 240 -rect 151558 -400 151614 240 -rect 152149 -400 152205 240 -rect 152740 -400 152796 240 -rect 153331 -400 153387 240 -rect 153922 -400 153978 240 -rect 154513 -400 154569 240 -rect 155104 -400 155160 240 -rect 155695 -400 155751 240 -rect 156286 -400 156342 240 -rect 156877 -400 156933 240 -rect 157468 -400 157524 240 -rect 158059 -400 158115 240 -rect 158650 -400 158706 240 -rect 159241 -400 159297 240 -rect 159832 -400 159888 240 -rect 160423 -400 160479 240 -rect 161014 -400 161070 240 -rect 161605 -400 161661 240 -rect 162196 -400 162252 240 -rect 162787 -400 162843 240 -rect 163378 -400 163434 240 -rect 163969 -400 164025 240 -rect 164560 -400 164616 240 -rect 165151 -400 165207 240 -rect 165742 -400 165798 240 -rect 166333 -400 166389 240 -rect 166924 -400 166980 240 -rect 167515 -400 167571 240 -rect 168106 -400 168162 240 -rect 168697 -400 168753 240 -rect 169288 -400 169344 240 -rect 169879 -400 169935 240 -rect 170470 -400 170526 240 -rect 171061 -400 171117 240 -rect 171652 -400 171708 240 -rect 172243 -400 172299 240 -rect 172834 -400 172890 240 -rect 173425 -400 173481 240 -rect 174016 -400 174072 240 -rect 174607 -400 174663 240 -rect 175198 -400 175254 240 -rect 175789 -400 175845 240 -rect 176380 -400 176436 240 -rect 176971 -400 177027 240 -rect 177562 -400 177618 240 -rect 178153 -400 178209 240 -rect 178744 -400 178800 240 -rect 179335 -400 179391 240 -rect 179926 -400 179982 240 -rect 180517 -400 180573 240 -rect 181108 -400 181164 240 -rect 181699 -400 181755 240 -rect 182290 -400 182346 240 -rect 182881 -400 182937 240 -rect 183472 -400 183528 240 -rect 184063 -400 184119 240 -rect 184654 -400 184710 240 -rect 185245 -400 185301 240 -rect 185836 -400 185892 240 -rect 186427 -400 186483 240 -rect 187018 -400 187074 240 -rect 187609 -400 187665 240 -rect 188200 -400 188256 240 -rect 188791 -400 188847 240 -rect 189382 -400 189438 240 -rect 189973 -400 190029 240 -rect 190564 -400 190620 240 -rect 191155 -400 191211 240 -rect 191746 -400 191802 240 -rect 192337 -400 192393 240 -rect 192928 -400 192984 240 -rect 193519 -400 193575 240 -rect 194110 -400 194166 240 -rect 194701 -400 194757 240 -rect 195292 -400 195348 240 -rect 195883 -400 195939 240 -rect 196474 -400 196530 240 -rect 197065 -400 197121 240 -rect 197656 -400 197712 240 -rect 198247 -400 198303 240 -rect 198838 -400 198894 240 -rect 199429 -400 199485 240 -rect 200020 -400 200076 240 -rect 200611 -400 200667 240 -rect 201202 -400 201258 240 -rect 201793 -400 201849 240 -rect 202384 -400 202440 240 -rect 202975 -400 203031 240 -rect 203566 -400 203622 240 -rect 204157 -400 204213 240 -rect 204748 -400 204804 240 -rect 205339 -400 205395 240 -rect 205930 -400 205986 240 -rect 206521 -400 206577 240 -rect 207112 -400 207168 240 -rect 207703 -400 207759 240 -rect 208294 -400 208350 240 -rect 208885 -400 208941 240 -rect 209476 -400 209532 240 -rect 210067 -400 210123 240 -rect 210658 -400 210714 240 -rect 211249 -400 211305 240 -rect 211840 -400 211896 240 -rect 212431 -400 212487 240 -rect 213022 -400 213078 240 -rect 213613 -400 213669 240 -rect 214204 -400 214260 240 -rect 214795 -400 214851 240 -rect 215386 -400 215442 240 -rect 215977 -400 216033 240 -rect 216568 -400 216624 240 -rect 217159 -400 217215 240 -rect 217750 -400 217806 240 -rect 218341 -400 218397 240 -rect 218932 -400 218988 240 -rect 219523 -400 219579 240 -rect 220114 -400 220170 240 -rect 220705 -400 220761 240 -rect 221296 -400 221352 240 -rect 221887 -400 221943 240 -rect 222478 -400 222534 240 -rect 223069 -400 223125 240 -rect 223660 -400 223716 240 -rect 224251 -400 224307 240 -rect 224842 -400 224898 240 -rect 225433 -400 225489 240 -rect 226024 -400 226080 240 -rect 226615 -400 226671 240 -rect 227206 -400 227262 240 -rect 227797 -400 227853 240 -rect 228388 -400 228444 240 -rect 228979 -400 229035 240 -rect 229570 -400 229626 240 -rect 230161 -400 230217 240 -rect 230752 -400 230808 240 -rect 231343 -400 231399 240 -rect 231934 -400 231990 240 -rect 232525 -400 232581 240 -rect 233116 -400 233172 240 -rect 233707 -400 233763 240 -rect 234298 -400 234354 240 -rect 234889 -400 234945 240 -rect 235480 -400 235536 240 -rect 236071 -400 236127 240 -rect 236662 -400 236718 240 -rect 237253 -400 237309 240 -rect 237844 -400 237900 240 -rect 238435 -400 238491 240 -rect 239026 -400 239082 240 -rect 239617 -400 239673 240 -rect 240208 -400 240264 240 -rect 240799 -400 240855 240 -rect 241390 -400 241446 240 -rect 241981 -400 242037 240 -rect 242572 -400 242628 240 -rect 243163 -400 243219 240 -rect 243754 -400 243810 240 -rect 244345 -400 244401 240 -rect 244936 -400 244992 240 -rect 245527 -400 245583 240 -rect 246118 -400 246174 240 -rect 246709 -400 246765 240 -rect 247300 -400 247356 240 -rect 247891 -400 247947 240 -rect 248482 -400 248538 240 -rect 249073 -400 249129 240 -rect 249664 -400 249720 240 -rect 250255 -400 250311 240 -rect 250846 -400 250902 240 -rect 251437 -400 251493 240 -rect 252028 -400 252084 240 -rect 252619 -400 252675 240 -rect 253210 -400 253266 240 -rect 253801 -400 253857 240 -rect 254392 -400 254448 240 -rect 254983 -400 255039 240 -rect 255574 -400 255630 240 -rect 256165 -400 256221 240 -rect 256756 -400 256812 240 -rect 257347 -400 257403 240 -rect 257938 -400 257994 240 -rect 258529 -400 258585 240 -rect 259120 -400 259176 240 -rect 259711 -400 259767 240 -rect 260302 -400 260358 240 -rect 260893 -400 260949 240 -rect 261484 -400 261540 240 -rect 262075 -400 262131 240 -rect 262666 -400 262722 240 -rect 263257 -400 263313 240 -rect 263848 -400 263904 240 -rect 264439 -400 264495 240 -rect 265030 -400 265086 240 -rect 265621 -400 265677 240 -rect 266212 -400 266268 240 -rect 266803 -400 266859 240 -rect 267394 -400 267450 240 -rect 267985 -400 268041 240 -rect 268576 -400 268632 240 -rect 269167 -400 269223 240 -rect 269758 -400 269814 240 -rect 270349 -400 270405 240 -rect 270940 -400 270996 240 -rect 271531 -400 271587 240 -rect 272122 -400 272178 240 -rect 272713 -400 272769 240 -rect 273304 -400 273360 240 -rect 273895 -400 273951 240 -rect 274486 -400 274542 240 -rect 275077 -400 275133 240 -rect 275668 -400 275724 240 -rect 276259 -400 276315 240 -rect 276850 -400 276906 240 -rect 277441 -400 277497 240 -rect 278032 -400 278088 240 -rect 278623 -400 278679 240 -rect 279214 -400 279270 240 -rect 279805 -400 279861 240 -rect 280396 -400 280452 240 -rect 280987 -400 281043 240 -rect 281578 -400 281634 240 -rect 282169 -400 282225 240 -rect 282760 -400 282816 240 -rect 283351 -400 283407 240 -rect 283942 -400 283998 240 -rect 284533 -400 284589 240 -rect 285124 -400 285180 240 -rect 285715 -400 285771 240 -rect 286306 -400 286362 240 -rect 286897 -400 286953 240 -rect 287488 -400 287544 240 -rect 288079 -400 288135 240 -rect 288670 -400 288726 240 -rect 289261 -400 289317 240 -rect 289852 -400 289908 240 -rect 290443 -400 290499 240 -rect 291034 -400 291090 240 -rect 291625 -400 291681 240 -<< metal3 >> -rect 8097 351150 10597 352400 -rect 34097 351150 36597 352400 -rect 60097 351150 62597 352400 -rect 82797 351150 85297 352400 -rect 85447 351150 86547 352400 -rect 86697 351150 87797 352400 -rect 87947 351150 90447 352400 -rect 108647 351150 111147 352400 -rect 111297 351150 112397 352400 -rect 112547 351150 113647 352400 -rect 113797 351150 116297 352400 -rect 159497 351150 161997 352400 -rect 162147 351150 163247 352400 -rect 163397 351150 164497 352400 -rect 164647 351150 167147 352400 -rect 206697 351150 209197 352400 -rect 232697 351150 235197 352400 -rect 255297 351170 257697 352400 -rect 260297 351170 262697 352400 -rect 283297 351150 285797 352400 -rect -400 340121 850 342621 -rect 291150 338992 292400 341492 -rect -400 321921 830 324321 -rect 291170 319892 292400 322292 -rect -400 316921 830 319321 -rect 291170 314892 292400 317292 -rect 291760 294736 292400 294792 -rect 291760 294145 292400 294201 -rect 291760 293554 292400 293610 -rect 291760 292963 292400 293019 -rect 291760 292372 292400 292428 -rect 291760 291781 292400 291837 -rect -400 279721 830 282121 -rect -400 274721 830 277121 -rect 291170 275281 292400 277681 -rect 291170 270281 292400 272681 -rect -400 255765 240 255821 -rect -400 255174 240 255230 -rect -400 254583 240 254639 -rect -400 253992 240 254048 -rect -400 253401 240 253457 -rect -400 252810 240 252866 -rect 291760 250025 292400 250081 -rect 291760 249434 292400 249490 -rect 291760 248843 292400 248899 -rect 291760 248252 292400 248308 -rect 291760 247661 292400 247717 -rect 291760 247070 292400 247126 -rect -400 234154 240 234210 -rect -400 233563 240 233619 -rect -400 232972 240 233028 -rect -400 232381 240 232437 -rect -400 231790 240 231846 -rect -400 231199 240 231255 -rect 291760 227814 292400 227870 -rect 291760 227223 292400 227279 -rect 291760 226632 292400 226688 -rect 291760 226041 292400 226097 -rect 291760 225450 292400 225506 -rect 291760 224859 292400 224915 -rect -400 212543 240 212599 -rect -400 211952 240 212008 -rect -400 211361 240 211417 -rect -400 210770 240 210826 -rect -400 210179 240 210235 -rect -400 209588 240 209644 -rect 291760 205603 292400 205659 -rect 291760 205012 292400 205068 -rect 291760 204421 292400 204477 -rect 291760 203830 292400 203886 -rect 291760 203239 292400 203295 -rect 291760 202648 292400 202704 -rect -400 190932 240 190988 -rect -400 190341 240 190397 -rect -400 189750 240 189806 -rect -400 189159 240 189215 -rect -400 188568 240 188624 -rect -400 187977 240 188033 -rect 291760 182392 292400 182448 -rect 291760 181801 292400 181857 -rect 291760 181210 292400 181266 -rect 291760 180619 292400 180675 -rect 291760 180028 292400 180084 -rect 291760 179437 292400 179493 -rect -400 169321 240 169377 -rect -400 168730 240 168786 -rect -400 168139 240 168195 -rect -400 167548 240 167604 -rect -400 166957 240 167013 -rect -400 166366 240 166422 -rect 291760 159781 292400 159837 -rect 291760 159190 292400 159246 -rect 291760 158599 292400 158655 -rect 291760 158008 292400 158064 -rect 291760 157417 292400 157473 -rect 291760 156826 292400 156882 -rect -400 147710 240 147766 -rect -400 147119 240 147175 -rect -400 146528 240 146584 -rect -400 145937 240 145993 -rect -400 145346 240 145402 -rect -400 144755 240 144811 -rect 291760 137570 292400 137626 -rect 291760 136979 292400 137035 -rect 291760 136388 292400 136444 -rect 291760 135797 292400 135853 -rect 291760 135206 292400 135262 -rect 291760 134615 292400 134671 -rect -400 126199 240 126255 -rect -400 125608 240 125664 -rect -400 125017 240 125073 -rect -400 124426 240 124482 -rect -400 123835 240 123891 -rect -400 123244 240 123300 -rect 291170 117615 292400 120015 -rect 291170 112615 292400 115015 -rect -400 107444 830 109844 -rect -400 102444 830 104844 -rect 291170 95715 292400 98115 -rect 291170 90715 292400 93115 -rect -400 86444 830 88844 -rect -400 81444 830 83844 -rect 291170 73415 292400 75815 -rect 291170 68415 292400 70815 -rect -400 62388 240 62444 -rect -400 61797 240 61853 -rect -400 61206 240 61262 -rect -400 60615 240 60671 -rect -400 60024 240 60080 -rect -400 59433 240 59489 -rect 291760 47559 292400 47615 -rect 291760 46968 292400 47024 -rect 291760 46377 292400 46433 -rect 291760 45786 292400 45842 -rect -400 40777 240 40833 -rect -400 40186 240 40242 -rect -400 39595 240 39651 -rect -400 39004 240 39060 -rect -400 38413 240 38469 -rect -400 37822 240 37878 -rect 291760 25230 292400 25286 -rect 291760 24639 292400 24695 -rect 291760 24048 292400 24104 -rect 291760 23457 292400 23513 -rect -400 19166 240 19222 -rect -400 18575 240 18631 -rect -400 17984 240 18040 -rect -400 17393 240 17449 -rect -400 16802 240 16858 -rect -400 16211 240 16267 -rect 291760 12001 292400 12057 -rect 291760 11410 292400 11466 -rect 291760 10819 292400 10875 -rect 291760 10228 292400 10284 -rect 291760 9637 292400 9693 -rect 291760 9046 292400 9102 -rect -400 8455 240 8511 -rect 291760 8455 292400 8511 -rect -400 7864 240 7920 -rect 291760 7864 292400 7920 -rect -400 7273 240 7329 -rect 291760 7273 292400 7329 -rect -400 6682 240 6738 -rect 291760 6682 292400 6738 -rect -400 6091 240 6147 -rect 291760 6091 292400 6147 -rect -400 5500 240 5556 -rect 291760 5500 292400 5556 -rect -400 4909 240 4965 -rect 291760 4909 292400 4965 -rect -400 4318 240 4374 -rect 291760 4318 292400 4374 -rect -400 3727 240 3783 -rect 291760 3727 292400 3783 -rect -400 3136 240 3192 -rect 291760 3136 292400 3192 -rect -400 2545 240 2601 -rect 291760 2545 292400 2601 -rect -400 1954 240 2010 -rect 291760 1954 292400 2010 -rect -400 1363 240 1419 -rect 291760 1363 292400 1419 -rect -400 772 240 828 -rect 291760 772 292400 828 -<< metal4 >> -rect 82797 351150 85297 352400 -rect 87947 351150 90447 352400 -rect 108647 351150 111147 352400 -rect 113797 351150 116297 352400 -rect 159497 351150 161997 352400 -rect 164647 351150 167147 352400 -<< metal5 >> -rect 82797 351150 85297 352400 -rect 87947 351150 90447 352400 -rect 108647 351150 111147 352400 -rect 113797 351150 116297 352400 -rect 159497 351150 161997 352400 -rect 164647 351150 167147 352400 -<< comment >> -rect -50 352000 292050 352050 -rect -50 0 0 352000 -rect 292000 0 292050 352000 -rect -50 -50 292050 0 -<< labels >> -flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0] -port 0 nsew signal bidirectional -flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10] -port 1 nsew signal bidirectional -flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11] -port 2 nsew signal bidirectional -flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12] -port 3 nsew signal bidirectional -flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13] -port 4 nsew signal bidirectional -flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14] -port 5 nsew signal bidirectional -flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15] -port 6 nsew signal bidirectional -flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16] -port 7 nsew signal bidirectional -flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17] -port 8 nsew signal bidirectional -flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1] -port 9 nsew signal bidirectional -flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2] -port 10 nsew signal bidirectional -flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3] -port 11 nsew signal bidirectional -flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4] -port 12 nsew signal bidirectional -flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5] -port 13 nsew signal bidirectional -flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6] -port 14 nsew signal bidirectional -flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7] -port 15 nsew signal bidirectional -flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8] -port 16 nsew signal bidirectional -flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9] -port 17 nsew signal bidirectional -flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0] -port 18 nsew signal bidirectional -flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10] -port 19 nsew signal bidirectional -flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11] -port 20 nsew signal bidirectional -flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12] -port 21 nsew signal bidirectional -flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13] -port 22 nsew signal bidirectional -flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14] -port 23 nsew signal bidirectional -flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15] -port 24 nsew signal bidirectional -flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16] -port 25 nsew signal bidirectional -flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17] -port 26 nsew signal bidirectional -flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1] -port 27 nsew signal bidirectional -flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2] -port 28 nsew signal bidirectional -flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3] -port 29 nsew signal bidirectional -flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4] -port 30 nsew signal bidirectional -flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5] -port 31 nsew signal bidirectional -flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6] -port 32 nsew signal bidirectional -flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7] -port 33 nsew signal bidirectional -flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8] -port 34 nsew signal bidirectional -flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9] -port 35 nsew signal bidirectional -flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0] -port 36 nsew signal bidirectional -flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10] -port 37 nsew signal bidirectional -flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1] -port 38 nsew signal bidirectional -flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2] -port 39 nsew signal bidirectional -flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3] -port 40 nsew signal bidirectional -flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal4 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal5 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal4 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal5 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal4 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal5 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7] -port 44 nsew signal bidirectional -flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8] -port 45 nsew signal bidirectional -flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9] -port 46 nsew signal bidirectional -flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal4 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal5 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal4 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal5 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal4 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal5 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0] -port 50 nsew signal bidirectional -flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1] -port 51 nsew signal bidirectional -flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2] -port 52 nsew signal bidirectional -flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0] -port 53 nsew signal bidirectional -flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1] -port 54 nsew signal bidirectional -flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2] -port 55 nsew signal bidirectional -flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0] -port 56 nsew signal input -flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10] -port 57 nsew signal input -flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11] -port 58 nsew signal input -flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12] -port 59 nsew signal input -flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13] -port 60 nsew signal input -flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14] -port 61 nsew signal input -flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15] -port 62 nsew signal input -flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16] -port 63 nsew signal input -flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17] -port 64 nsew signal input -flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18] -port 65 nsew signal input -flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19] -port 66 nsew signal input -flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1] -port 67 nsew signal input -flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20] -port 68 nsew signal input -flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21] -port 69 nsew signal input -flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22] -port 70 nsew signal input -flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23] -port 71 nsew signal input -flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24] -port 72 nsew signal input -flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25] -port 73 nsew signal input -flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26] -port 74 nsew signal input -flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2] -port 75 nsew signal input -flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3] -port 76 nsew signal input -flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4] -port 77 nsew signal input -flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5] -port 78 nsew signal input -flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6] -port 79 nsew signal input -flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7] -port 80 nsew signal input -flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8] -port 81 nsew signal input -flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9] -port 82 nsew signal input -flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0] -port 83 nsew signal input -flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10] -port 84 nsew signal input -flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11] -port 85 nsew signal input -flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12] -port 86 nsew signal input -flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13] -port 87 nsew signal input -flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14] -port 88 nsew signal input -flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15] -port 89 nsew signal input -flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16] -port 90 nsew signal input -flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17] -port 91 nsew signal input -flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18] -port 92 nsew signal input -flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19] -port 93 nsew signal input -flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1] -port 94 nsew signal input -flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20] -port 95 nsew signal input -flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21] -port 96 nsew signal input -flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22] -port 97 nsew signal input -flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23] -port 98 nsew signal input -flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24] -port 99 nsew signal input -flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25] -port 100 nsew signal input -flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26] -port 101 nsew signal input -flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2] -port 102 nsew signal input -flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3] -port 103 nsew signal input -flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4] -port 104 nsew signal input -flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5] -port 105 nsew signal input -flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6] -port 106 nsew signal input -flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7] -port 107 nsew signal input -flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8] -port 108 nsew signal input -flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9] -port 109 nsew signal input -flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0] -port 110 nsew signal tristate -flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10] -port 111 nsew signal tristate -flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11] -port 112 nsew signal tristate -flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12] -port 113 nsew signal tristate -flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13] -port 114 nsew signal tristate -flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14] -port 115 nsew signal tristate -flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15] -port 116 nsew signal tristate -flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16] -port 117 nsew signal tristate -flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17] -port 118 nsew signal tristate -flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18] -port 119 nsew signal tristate -flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19] -port 120 nsew signal tristate -flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1] -port 121 nsew signal tristate -flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20] -port 122 nsew signal tristate -flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21] -port 123 nsew signal tristate -flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22] -port 124 nsew signal tristate -flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23] -port 125 nsew signal tristate -flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24] -port 126 nsew signal tristate -flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25] -port 127 nsew signal tristate -flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26] -port 128 nsew signal tristate -flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2] -port 129 nsew signal tristate -flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3] -port 130 nsew signal tristate -flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4] -port 131 nsew signal tristate -flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5] -port 132 nsew signal tristate -flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6] -port 133 nsew signal tristate -flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7] -port 134 nsew signal tristate -flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8] -port 135 nsew signal tristate -flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9] -port 136 nsew signal tristate -flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0] -port 137 nsew signal tristate -flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10] -port 138 nsew signal tristate -flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11] -port 139 nsew signal tristate -flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12] -port 140 nsew signal tristate -flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13] -port 141 nsew signal tristate -flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14] -port 142 nsew signal tristate -flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15] -port 143 nsew signal tristate -flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16] -port 144 nsew signal tristate -flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17] -port 145 nsew signal tristate -flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18] -port 146 nsew signal tristate -flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19] -port 147 nsew signal tristate -flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1] -port 148 nsew signal tristate -flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20] -port 149 nsew signal tristate -flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21] -port 150 nsew signal tristate -flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22] -port 151 nsew signal tristate -flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23] -port 152 nsew signal tristate -flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24] -port 153 nsew signal tristate -flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25] -port 154 nsew signal tristate -flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26] -port 155 nsew signal tristate -flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2] -port 156 nsew signal tristate -flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3] -port 157 nsew signal tristate -flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4] -port 158 nsew signal tristate -flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5] -port 159 nsew signal tristate -flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6] -port 160 nsew signal tristate -flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7] -port 161 nsew signal tristate -flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8] -port 162 nsew signal tristate -flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9] -port 163 nsew signal tristate -flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0] -port 164 nsew signal input -flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100] -port 165 nsew signal input -flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101] -port 166 nsew signal input -flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102] -port 167 nsew signal input -flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103] -port 168 nsew signal input -flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104] -port 169 nsew signal input -flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105] -port 170 nsew signal input -flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106] -port 171 nsew signal input -flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107] -port 172 nsew signal input -flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108] -port 173 nsew signal input -flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109] -port 174 nsew signal input -flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10] -port 175 nsew signal input -flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110] -port 176 nsew signal input -flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111] -port 177 nsew signal input -flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112] -port 178 nsew signal input -flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113] -port 179 nsew signal input -flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114] -port 180 nsew signal input -flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115] -port 181 nsew signal input -flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116] -port 182 nsew signal input -flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117] -port 183 nsew signal input -flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118] -port 184 nsew signal input -flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119] -port 185 nsew signal input -flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11] -port 186 nsew signal input -flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120] -port 187 nsew signal input -flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121] -port 188 nsew signal input -flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122] -port 189 nsew signal input -flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123] -port 190 nsew signal input -flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124] -port 191 nsew signal input -flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125] -port 192 nsew signal input -flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126] -port 193 nsew signal input -flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127] -port 194 nsew signal input -flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12] -port 195 nsew signal input -flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13] -port 196 nsew signal input -flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14] -port 197 nsew signal input -flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15] -port 198 nsew signal input -flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16] -port 199 nsew signal input -flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17] -port 200 nsew signal input -flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18] -port 201 nsew signal input -flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19] -port 202 nsew signal input -flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1] -port 203 nsew signal input -flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20] -port 204 nsew signal input -flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21] -port 205 nsew signal input -flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22] -port 206 nsew signal input -flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23] -port 207 nsew signal input -flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24] -port 208 nsew signal input -flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25] -port 209 nsew signal input -flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26] -port 210 nsew signal input -flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27] -port 211 nsew signal input -flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28] -port 212 nsew signal input -flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29] -port 213 nsew signal input -flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2] -port 214 nsew signal input -flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30] -port 215 nsew signal input -flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31] -port 216 nsew signal input -flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32] -port 217 nsew signal input -flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33] -port 218 nsew signal input -flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34] -port 219 nsew signal input -flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35] -port 220 nsew signal input -flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36] -port 221 nsew signal input -flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37] -port 222 nsew signal input -flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38] -port 223 nsew signal input -flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39] -port 224 nsew signal input -flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3] -port 225 nsew signal input -flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40] -port 226 nsew signal input -flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41] -port 227 nsew signal input -flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42] -port 228 nsew signal input -flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43] -port 229 nsew signal input -flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44] -port 230 nsew signal input -flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45] -port 231 nsew signal input -flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46] -port 232 nsew signal input -flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47] -port 233 nsew signal input -flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48] -port 234 nsew signal input -flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49] -port 235 nsew signal input -flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4] -port 236 nsew signal input -flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50] -port 237 nsew signal input -flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51] -port 238 nsew signal input -flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52] -port 239 nsew signal input -flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53] -port 240 nsew signal input -flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54] -port 241 nsew signal input -flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55] -port 242 nsew signal input -flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56] -port 243 nsew signal input -flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57] -port 244 nsew signal input -flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58] -port 245 nsew signal input -flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59] -port 246 nsew signal input -flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5] -port 247 nsew signal input -flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60] -port 248 nsew signal input -flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61] -port 249 nsew signal input -flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62] -port 250 nsew signal input -flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63] -port 251 nsew signal input -flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64] -port 252 nsew signal input -flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65] -port 253 nsew signal input -flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66] -port 254 nsew signal input -flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67] -port 255 nsew signal input -flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68] -port 256 nsew signal input -flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69] -port 257 nsew signal input -flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6] -port 258 nsew signal input -flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70] -port 259 nsew signal input -flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71] -port 260 nsew signal input -flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72] -port 261 nsew signal input -flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73] -port 262 nsew signal input -flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74] -port 263 nsew signal input -flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75] -port 264 nsew signal input -flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76] -port 265 nsew signal input -flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77] -port 266 nsew signal input -flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78] -port 267 nsew signal input -flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79] -port 268 nsew signal input -flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7] -port 269 nsew signal input -flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80] -port 270 nsew signal input -flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81] -port 271 nsew signal input -flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82] -port 272 nsew signal input -flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83] -port 273 nsew signal input -flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84] -port 274 nsew signal input -flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85] -port 275 nsew signal input -flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86] -port 276 nsew signal input -flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87] -port 277 nsew signal input -flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88] -port 278 nsew signal input -flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89] -port 279 nsew signal input -flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8] -port 280 nsew signal input -flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90] -port 281 nsew signal input -flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91] -port 282 nsew signal input -flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92] -port 283 nsew signal input -flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93] -port 284 nsew signal input -flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94] -port 285 nsew signal input -flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95] -port 286 nsew signal input -flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96] -port 287 nsew signal input -flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97] -port 288 nsew signal input -flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98] -port 289 nsew signal input -flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99] -port 290 nsew signal input -flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9] -port 291 nsew signal input -flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0] -port 292 nsew signal tristate -flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100] -port 293 nsew signal tristate -flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101] -port 294 nsew signal tristate -flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102] -port 295 nsew signal tristate -flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103] -port 296 nsew signal tristate -flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104] -port 297 nsew signal tristate -flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105] -port 298 nsew signal tristate -flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106] -port 299 nsew signal tristate -flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107] -port 300 nsew signal tristate -flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108] -port 301 nsew signal tristate -flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109] -port 302 nsew signal tristate -flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10] -port 303 nsew signal tristate -flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110] -port 304 nsew signal tristate -flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111] -port 305 nsew signal tristate -flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112] -port 306 nsew signal tristate -flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113] -port 307 nsew signal tristate -flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114] -port 308 nsew signal tristate -flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115] -port 309 nsew signal tristate -flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116] -port 310 nsew signal tristate -flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117] -port 311 nsew signal tristate -flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118] -port 312 nsew signal tristate -flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119] -port 313 nsew signal tristate -flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11] -port 314 nsew signal tristate -flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120] -port 315 nsew signal tristate -flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121] -port 316 nsew signal tristate -flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122] -port 317 nsew signal tristate -flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123] -port 318 nsew signal tristate -flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124] -port 319 nsew signal tristate -flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125] -port 320 nsew signal tristate -flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126] -port 321 nsew signal tristate -flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127] -port 322 nsew signal tristate -flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12] -port 323 nsew signal tristate -flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13] -port 324 nsew signal tristate -flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14] -port 325 nsew signal tristate -flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15] -port 326 nsew signal tristate -flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16] -port 327 nsew signal tristate -flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17] -port 328 nsew signal tristate -flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18] -port 329 nsew signal tristate -flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19] -port 330 nsew signal tristate -flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1] -port 331 nsew signal tristate -flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20] -port 332 nsew signal tristate -flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21] -port 333 nsew signal tristate -flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22] -port 334 nsew signal tristate -flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23] -port 335 nsew signal tristate -flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24] -port 336 nsew signal tristate -flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25] -port 337 nsew signal tristate -flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26] -port 338 nsew signal tristate -flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27] -port 339 nsew signal tristate -flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28] -port 340 nsew signal tristate -flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29] -port 341 nsew signal tristate -flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2] -port 342 nsew signal tristate -flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30] -port 343 nsew signal tristate -flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31] -port 344 nsew signal tristate -flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32] -port 345 nsew signal tristate -flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33] -port 346 nsew signal tristate -flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34] -port 347 nsew signal tristate -flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35] -port 348 nsew signal tristate -flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36] -port 349 nsew signal tristate -flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37] -port 350 nsew signal tristate -flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38] -port 351 nsew signal tristate -flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39] -port 352 nsew signal tristate -flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3] -port 353 nsew signal tristate -flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40] -port 354 nsew signal tristate -flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41] -port 355 nsew signal tristate -flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42] -port 356 nsew signal tristate -flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43] -port 357 nsew signal tristate -flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44] -port 358 nsew signal tristate -flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45] -port 359 nsew signal tristate -flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46] -port 360 nsew signal tristate -flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47] -port 361 nsew signal tristate -flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48] -port 362 nsew signal tristate -flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49] -port 363 nsew signal tristate -flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4] -port 364 nsew signal tristate -flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50] -port 365 nsew signal tristate -flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51] -port 366 nsew signal tristate -flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52] -port 367 nsew signal tristate -flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53] -port 368 nsew signal tristate -flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54] -port 369 nsew signal tristate -flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55] -port 370 nsew signal tristate -flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56] -port 371 nsew signal tristate -flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57] -port 372 nsew signal tristate -flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58] -port 373 nsew signal tristate -flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59] -port 374 nsew signal tristate -flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5] -port 375 nsew signal tristate -flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60] -port 376 nsew signal tristate -flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61] -port 377 nsew signal tristate -flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62] -port 378 nsew signal tristate -flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63] -port 379 nsew signal tristate -flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64] -port 380 nsew signal tristate -flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65] -port 381 nsew signal tristate -flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66] -port 382 nsew signal tristate -flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67] -port 383 nsew signal tristate -flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68] -port 384 nsew signal tristate -flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69] -port 385 nsew signal tristate -flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6] -port 386 nsew signal tristate -flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70] -port 387 nsew signal tristate -flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71] -port 388 nsew signal tristate -flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72] -port 389 nsew signal tristate -flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73] -port 390 nsew signal tristate -flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74] -port 391 nsew signal tristate -flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75] -port 392 nsew signal tristate -flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76] -port 393 nsew signal tristate -flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77] -port 394 nsew signal tristate -flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78] -port 395 nsew signal tristate -flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79] -port 396 nsew signal tristate -flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7] -port 397 nsew signal tristate -flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80] -port 398 nsew signal tristate -flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81] -port 399 nsew signal tristate -flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82] -port 400 nsew signal tristate -flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83] -port 401 nsew signal tristate -flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84] -port 402 nsew signal tristate -flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85] -port 403 nsew signal tristate -flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86] -port 404 nsew signal tristate -flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87] -port 405 nsew signal tristate -flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88] -port 406 nsew signal tristate -flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89] -port 407 nsew signal tristate -flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8] -port 408 nsew signal tristate -flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90] -port 409 nsew signal tristate -flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91] -port 410 nsew signal tristate -flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92] -port 411 nsew signal tristate -flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93] -port 412 nsew signal tristate -flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94] -port 413 nsew signal tristate -flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95] -port 414 nsew signal tristate -flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96] -port 415 nsew signal tristate -flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97] -port 416 nsew signal tristate -flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98] -port 417 nsew signal tristate -flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99] -port 418 nsew signal tristate -flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9] -port 419 nsew signal tristate -flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0] -port 420 nsew signal input -flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100] -port 421 nsew signal input -flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101] -port 422 nsew signal input -flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102] -port 423 nsew signal input -flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103] -port 424 nsew signal input -flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104] -port 425 nsew signal input -flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105] -port 426 nsew signal input -flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106] -port 427 nsew signal input -flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107] -port 428 nsew signal input -flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108] -port 429 nsew signal input -flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109] -port 430 nsew signal input -flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10] -port 431 nsew signal input -flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110] -port 432 nsew signal input -flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111] -port 433 nsew signal input -flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112] -port 434 nsew signal input -flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113] -port 435 nsew signal input -flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114] -port 436 nsew signal input -flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115] -port 437 nsew signal input -flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116] -port 438 nsew signal input -flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117] -port 439 nsew signal input -flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118] -port 440 nsew signal input -flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119] -port 441 nsew signal input -flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11] -port 442 nsew signal input -flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120] -port 443 nsew signal input -flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121] -port 444 nsew signal input -flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122] -port 445 nsew signal input -flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123] -port 446 nsew signal input -flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124] -port 447 nsew signal input -flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125] -port 448 nsew signal input -flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126] -port 449 nsew signal input -flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127] -port 450 nsew signal input -flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12] -port 451 nsew signal input -flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13] -port 452 nsew signal input -flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14] -port 453 nsew signal input -flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15] -port 454 nsew signal input -flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16] -port 455 nsew signal input -flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17] -port 456 nsew signal input -flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18] -port 457 nsew signal input -flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19] -port 458 nsew signal input -flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1] -port 459 nsew signal input -flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20] -port 460 nsew signal input -flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21] -port 461 nsew signal input -flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22] -port 462 nsew signal input -flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23] -port 463 nsew signal input -flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24] -port 464 nsew signal input -flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25] -port 465 nsew signal input -flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26] -port 466 nsew signal input -flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27] -port 467 nsew signal input -flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28] -port 468 nsew signal input -flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29] -port 469 nsew signal input -flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2] -port 470 nsew signal input -flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30] -port 471 nsew signal input -flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31] -port 472 nsew signal input -flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32] -port 473 nsew signal input -flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33] -port 474 nsew signal input -flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34] -port 475 nsew signal input -flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35] -port 476 nsew signal input -flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36] -port 477 nsew signal input -flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37] -port 478 nsew signal input -flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38] -port 479 nsew signal input -flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39] -port 480 nsew signal input -flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3] -port 481 nsew signal input -flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40] -port 482 nsew signal input -flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41] -port 483 nsew signal input -flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42] -port 484 nsew signal input -flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43] -port 485 nsew signal input -flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44] -port 486 nsew signal input -flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45] -port 487 nsew signal input -flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46] -port 488 nsew signal input -flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47] -port 489 nsew signal input -flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48] -port 490 nsew signal input -flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49] -port 491 nsew signal input -flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4] -port 492 nsew signal input -flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50] -port 493 nsew signal input -flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51] -port 494 nsew signal input -flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52] -port 495 nsew signal input -flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53] -port 496 nsew signal input -flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54] -port 497 nsew signal input -flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55] -port 498 nsew signal input -flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56] -port 499 nsew signal input -flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57] -port 500 nsew signal input -flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58] -port 501 nsew signal input -flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59] -port 502 nsew signal input -flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5] -port 503 nsew signal input -flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60] -port 504 nsew signal input -flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61] -port 505 nsew signal input -flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62] -port 506 nsew signal input -flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63] -port 507 nsew signal input -flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64] -port 508 nsew signal input -flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65] -port 509 nsew signal input -flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66] -port 510 nsew signal input -flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67] -port 511 nsew signal input -flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68] -port 512 nsew signal input -flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69] -port 513 nsew signal input -flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6] -port 514 nsew signal input -flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70] -port 515 nsew signal input -flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71] -port 516 nsew signal input -flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72] -port 517 nsew signal input -flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73] -port 518 nsew signal input -flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74] -port 519 nsew signal input -flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75] -port 520 nsew signal input -flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76] -port 521 nsew signal input -flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77] -port 522 nsew signal input -flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78] -port 523 nsew signal input -flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79] -port 524 nsew signal input -flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7] -port 525 nsew signal input -flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80] -port 526 nsew signal input -flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81] -port 527 nsew signal input -flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82] -port 528 nsew signal input -flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83] -port 529 nsew signal input -flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84] -port 530 nsew signal input -flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85] -port 531 nsew signal input -flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86] -port 532 nsew signal input -flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87] -port 533 nsew signal input -flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88] -port 534 nsew signal input -flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89] -port 535 nsew signal input -flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8] -port 536 nsew signal input -flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90] -port 537 nsew signal input -flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91] -port 538 nsew signal input -flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92] -port 539 nsew signal input -flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93] -port 540 nsew signal input -flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94] -port 541 nsew signal input -flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95] -port 542 nsew signal input -flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96] -port 543 nsew signal input -flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97] -port 544 nsew signal input -flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98] -port 545 nsew signal input -flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99] -port 546 nsew signal input -flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9] -port 547 nsew signal input -flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2 -port 548 nsew signal input -flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0] -port 549 nsew signal tristate -flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1] -port 550 nsew signal tristate -flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2] -port 551 nsew signal tristate -flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1 -port 552 nsew signal bidirectional -flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1 -port 553 nsew signal bidirectional -flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2 -port 554 nsew signal bidirectional -flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2 -port 555 nsew signal bidirectional -flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1 -port 556 nsew signal bidirectional -flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1 -port 557 nsew signal bidirectional -flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1 -port 558 nsew signal bidirectional -flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1 -port 559 nsew signal bidirectional -flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2 -port 560 nsew signal bidirectional -flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2 -port 561 nsew signal bidirectional -flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1 -port 562 nsew signal bidirectional -flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1 -port 563 nsew signal bidirectional -flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1 -port 564 nsew signal bidirectional -flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1 -port 565 nsew signal bidirectional -flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2 -port 566 nsew signal bidirectional -flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2 -port 567 nsew signal bidirectional -flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1 -port 568 nsew signal bidirectional -flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1 -port 569 nsew signal bidirectional -flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2 -port 570 nsew signal bidirectional -flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2 -port 571 nsew signal bidirectional -flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i -port 572 nsew signal input -flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i -port 573 nsew signal input -flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o -port 574 nsew signal tristate -flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0] -port 575 nsew signal input -flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10] -port 576 nsew signal input -flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11] -port 577 nsew signal input -flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12] -port 578 nsew signal input -flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13] -port 579 nsew signal input -flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14] -port 580 nsew signal input -flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15] -port 581 nsew signal input -flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16] -port 582 nsew signal input -flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17] -port 583 nsew signal input -flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18] -port 584 nsew signal input -flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19] -port 585 nsew signal input -flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1] -port 586 nsew signal input -flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20] -port 587 nsew signal input -flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21] -port 588 nsew signal input -flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22] -port 589 nsew signal input -flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23] -port 590 nsew signal input -flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24] -port 591 nsew signal input -flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25] -port 592 nsew signal input -flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26] -port 593 nsew signal input -flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27] -port 594 nsew signal input -flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28] -port 595 nsew signal input -flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29] -port 596 nsew signal input -flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2] -port 597 nsew signal input -flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30] -port 598 nsew signal input -flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31] -port 599 nsew signal input -flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3] -port 600 nsew signal input -flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4] -port 601 nsew signal input -flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5] -port 602 nsew signal input -flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6] -port 603 nsew signal input -flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7] -port 604 nsew signal input -flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8] -port 605 nsew signal input -flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9] -port 606 nsew signal input -flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i -port 607 nsew signal input -flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0] -port 608 nsew signal input -flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10] -port 609 nsew signal input -flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11] -port 610 nsew signal input -flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12] -port 611 nsew signal input -flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13] -port 612 nsew signal input -flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14] -port 613 nsew signal input -flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15] -port 614 nsew signal input -flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16] -port 615 nsew signal input -flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17] -port 616 nsew signal input -flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18] -port 617 nsew signal input -flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19] -port 618 nsew signal input -flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1] -port 619 nsew signal input -flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20] -port 620 nsew signal input -flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21] -port 621 nsew signal input -flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22] -port 622 nsew signal input -flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23] -port 623 nsew signal input -flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24] -port 624 nsew signal input -flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25] -port 625 nsew signal input -flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26] -port 626 nsew signal input -flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27] -port 627 nsew signal input -flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28] -port 628 nsew signal input -flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29] -port 629 nsew signal input -flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2] -port 630 nsew signal input -flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30] -port 631 nsew signal input -flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31] -port 632 nsew signal input -flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3] -port 633 nsew signal input -flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4] -port 634 nsew signal input -flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5] -port 635 nsew signal input -flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6] -port 636 nsew signal input -flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7] -port 637 nsew signal input -flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8] -port 638 nsew signal input -flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9] -port 639 nsew signal input -flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0] -port 640 nsew signal tristate -flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10] -port 641 nsew signal tristate -flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11] -port 642 nsew signal tristate -flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12] -port 643 nsew signal tristate -flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13] -port 644 nsew signal tristate -flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14] -port 645 nsew signal tristate -flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15] -port 646 nsew signal tristate -flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16] -port 647 nsew signal tristate -flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17] -port 648 nsew signal tristate -flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18] -port 649 nsew signal tristate -flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19] -port 650 nsew signal tristate -flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1] -port 651 nsew signal tristate -flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20] -port 652 nsew signal tristate -flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21] -port 653 nsew signal tristate -flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22] -port 654 nsew signal tristate -flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23] -port 655 nsew signal tristate -flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24] -port 656 nsew signal tristate -flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25] -port 657 nsew signal tristate -flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26] -port 658 nsew signal tristate -flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27] -port 659 nsew signal tristate -flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28] -port 660 nsew signal tristate -flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29] -port 661 nsew signal tristate -flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2] -port 662 nsew signal tristate -flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30] -port 663 nsew signal tristate -flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31] -port 664 nsew signal tristate -flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3] -port 665 nsew signal tristate -flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4] -port 666 nsew signal tristate -flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5] -port 667 nsew signal tristate -flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6] -port 668 nsew signal tristate -flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7] -port 669 nsew signal tristate -flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8] -port 670 nsew signal tristate -flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9] -port 671 nsew signal tristate -flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0] -port 672 nsew signal input -flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1] -port 673 nsew signal input -flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2] -port 674 nsew signal input -flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3] -port 675 nsew signal input -flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i -port 676 nsew signal input -flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i -port 677 nsew signal input -<< properties >> -string FIXED_BBOX 0 0 292000 352000 -<< end >> diff --git a/mag/vccd1_connection.mag b/mag/vccd1_connection.mag new file mode 100644 index 0000000..24b29b0 --- /dev/null +++ b/mag/vccd1_connection.mag @@ -0,0 +1,26 @@ +magic +tech sky130A +magscale 1 2 +timestamp 1520178628 +<< checkpaint >> +rect -1239 -1240 10328 16120 +<< metal3 >> +rect 21 14832 9060 14860 +rect 21 10128 29 14832 +rect 4013 10128 9060 14832 +rect 21 10060 9060 10128 +rect 4501 9742 9063 9770 +rect 4501 5198 4509 9742 +rect 8493 5198 9063 9742 +rect 4501 5108 9063 5198 +rect 21 4787 9068 4809 +rect 21 83 29 4787 +rect 4013 83 9068 4787 +rect 21 20 9068 83 +<< via3 >> +rect 29 10128 4013 14832 +rect 4509 5198 8493 9742 +rect 29 83 4013 4787 +<< properties >> +string FIXED_BBOX 0 0 9080 14920 +<< end >> diff --git a/mag/vssd1_connection.mag b/mag/vssd1_connection.mag new file mode 100644 index 0000000..352be8f --- /dev/null +++ b/mag/vssd1_connection.mag @@ -0,0 +1,26 @@ +magic +tech sky130A +magscale 1 2 +timestamp 1520179892 +<< checkpaint >> +rect -1239 -1240 10328 16120 +<< metal3 >> +rect 4501 14832 9060 14860 +rect 4501 10128 4509 14832 +rect 8493 10128 9060 14832 +rect 4501 10060 9060 10128 +rect 21 9742 9063 9770 +rect 21 5198 29 9742 +rect 4013 5198 9063 9742 +rect 21 5108 9063 5198 +rect 4501 4787 9068 4809 +rect 4501 83 4509 4787 +rect 8493 83 9068 4787 +rect 4501 20 9068 83 +<< via3 >> +rect 4509 10128 8493 14832 +rect 29 5198 4013 9742 +rect 4509 83 8493 4787 +<< properties >> +string FIXED_BBOX 0 0 9080 14920 +<< end >> diff --git a/netgen/comp.out b/netgen/comp.out deleted file mode 100644 index 42163df..0000000 --- a/netgen/comp.out +++ /dev/null @@ -1,2097 +0,0 @@ -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. - -Class sky130_fd_sc_hvl__buf_8(0): Merged 18 parallel devices. -Class sky130_fd_sc_hvl__buf_8(1): Merged 18 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hvl__buf_8 |Circuit 2: sky130_fd_sc_hvl__buf_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_g5v0d10v5 (2) |sky130_fd_pr__nfet_g5v0d10v5 (2) -sky130_fd_pr__pfet_g5v0d10v5 (2) |sky130_fd_pr__pfet_g5v0d10v5 (2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 7 |Number of nets: 7 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hvl__buf_8 |Circuit 2: sky130_fd_sc_hvl__buf_8 --------------------------------------------|------------------------------------------- -A |A -VPWR |VPWR -VPB |VPB -X |X -VGND |VGND -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hvl__buf_8 and sky130_fd_sc_hvl__buf_8 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hvl__schmittbuf_1 |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_g5v0d10v5 (4) |sky130_fd_pr__nfet_g5v0d10v5 (4) -sky130_fd_pr__pfet_g5v0d10v5 (4) |sky130_fd_pr__pfet_g5v0d10v5 (4) -sky130_fd_pr__res_generic_nd__hv (1) |sky130_fd_pr__res_generic_nd__hv (1) -sky130_fd_pr__res_generic_pd__hv (1) |sky130_fd_pr__res_generic_pd__hv (1) -Number of devices: 10 |Number of devices: 10 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hvl__schmittbuf_1 |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1 --------------------------------------------|------------------------------------------- -A |A -VPB |VPB -VNB |VNB -VGND |VGND -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hvl__schmittbuf_1 and sky130_fd_sc_hvl__schmittbuf_1 are equivalent. - -Class sky130_fd_sc_hvl__inv_8(0): Merged 14 parallel devices. -Class sky130_fd_sc_hvl__inv_8(1): Merged 14 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hvl__inv_8 |Circuit 2: sky130_fd_sc_hvl__inv_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_g5v0d10v5 (1) |sky130_fd_pr__pfet_g5v0d10v5 (1) -sky130_fd_pr__nfet_g5v0d10v5 (1) |sky130_fd_pr__nfet_g5v0d10v5 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 6 |Number of nets: 6 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hvl__inv_8 |Circuit 2: sky130_fd_sc_hvl__inv_8 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VGND |VGND -VNB |VNB -A |A -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hvl__inv_8 and sky130_fd_sc_hvl__inv_8 are equivalent. -Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_2_W5U4AW in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_TGFUGS in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_3YBPVB in circuit example_por (0)(4 instances) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPXE in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_PKVMTM in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_1_WRT4AW in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YEUEBV in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPBG in circuit example_por (0)(1 instance) - -Class example_por(0): Merged 20 parallel devices. -Class example_por(0): Merged 24 series devices. -Subcircuit summary: -Circuit 1: example_por |Circuit 2: example_por --------------------------------------------|------------------------------------------- -sky130_fd_pr__cap_mim_m3_2 (1) |sky130_fd_pr__cap_mim_m3_2 (1) -sky130_fd_sc_hvl__buf_8 (2) |sky130_fd_sc_hvl__buf_8 (2) -sky130_fd_pr__pfet_g5v0d10v5 (8) |sky130_fd_pr__pfet_g5v0d10v5 (8) -sky130_fd_pr__nfet_g5v0d10v5 (3) |sky130_fd_pr__nfet_g5v0d10v5 (3) -sky130_fd_pr__res_xhigh_po_0p69 (3) |sky130_fd_pr__res_xhigh_po_0p69 (3) -sky130_fd_sc_hvl__schmittbuf_1 (1) |sky130_fd_sc_hvl__schmittbuf_1 (1) -sky130_fd_pr__cap_mim_m3_1 (1) |sky130_fd_pr__cap_mim_m3_1 (1) -sky130_fd_sc_hvl__inv_8 (1) |sky130_fd_sc_hvl__inv_8 (1) -Number of devices: 20 |Number of devices: 20 -Number of nets: 16 |Number of nets: 16 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: example_por |Circuit 2: example_por --------------------------------------------|------------------------------------------- -vdd3v3 |vdd3v3 -porb_h |porb_h -porb_l |porb_l -por_l |por_l -vdd1v8 |vdd1v8 -vss |vss ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes example_por and example_por are equivalent. -Flattening unmatched subcell user_analog_proj_example in circuit user_analog_project_wrapper (0)(1 instance) - -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[0] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[10] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[11] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[12] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[13] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[14] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[15] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[16] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[17] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[1] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[2] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[4] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[5] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[6] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[8] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[9] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[0] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[10] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[11] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[12] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[13] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[14] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[15] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[16] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[17] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[1] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[2] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[3] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[4] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[5] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[6] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[7] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[8] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[9] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[0] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[10] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[1] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[2] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[3] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[7] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[8] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[9] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[5] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[6] -Cell user_analog_project_wrapper(0) disconnected node: io_in[0] -Cell user_analog_project_wrapper(0) disconnected node: io_in[10] -Cell user_analog_project_wrapper(0) disconnected node: io_in[11] -Cell user_analog_project_wrapper(0) disconnected node: io_in[12] -Cell user_analog_project_wrapper(0) disconnected node: io_in[13] -Cell user_analog_project_wrapper(0) disconnected node: io_in[14] -Cell user_analog_project_wrapper(0) disconnected node: io_in[15] -Cell user_analog_project_wrapper(0) disconnected node: io_in[16] -Cell user_analog_project_wrapper(0) disconnected node: io_in[17] -Cell user_analog_project_wrapper(0) disconnected node: io_in[18] -Cell user_analog_project_wrapper(0) disconnected node: io_in[19] -Cell user_analog_project_wrapper(0) disconnected node: io_in[1] -Cell user_analog_project_wrapper(0) disconnected node: io_in[20] -Cell user_analog_project_wrapper(0) disconnected node: io_in[21] -Cell user_analog_project_wrapper(0) disconnected node: io_in[22] -Cell user_analog_project_wrapper(0) disconnected node: io_in[23] -Cell user_analog_project_wrapper(0) disconnected node: io_in[24] -Cell user_analog_project_wrapper(0) disconnected node: io_in[25] -Cell user_analog_project_wrapper(0) disconnected node: io_in[26] -Cell user_analog_project_wrapper(0) disconnected node: io_in[2] -Cell user_analog_project_wrapper(0) disconnected node: io_in[3] -Cell user_analog_project_wrapper(0) disconnected node: io_in[4] -Cell user_analog_project_wrapper(0) disconnected node: io_in[5] -Cell user_analog_project_wrapper(0) disconnected node: io_in[6] -Cell user_analog_project_wrapper(0) disconnected node: io_in[7] -Cell user_analog_project_wrapper(0) disconnected node: io_in[8] -Cell user_analog_project_wrapper(0) disconnected node: io_in[9] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[0] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[10] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[11] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[12] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[13] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[14] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[15] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[16] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[17] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[18] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[19] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[1] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[20] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[21] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[22] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[23] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[24] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[25] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[26] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[2] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[3] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[4] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[5] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[6] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[7] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[8] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[9] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[0] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[10] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[13] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[14] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[17] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[18] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[19] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[1] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[20] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[21] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[22] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[23] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[24] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[25] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[26] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[2] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[3] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[4] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[5] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[6] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[7] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[8] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[9] -Cell user_analog_project_wrapper(0) disconnected node: io_out[0] -Cell user_analog_project_wrapper(0) disconnected node: io_out[10] -Cell user_analog_project_wrapper(0) disconnected node: io_out[13] -Cell user_analog_project_wrapper(0) disconnected node: io_out[14] -Cell user_analog_project_wrapper(0) disconnected node: io_out[17] -Cell user_analog_project_wrapper(0) disconnected node: io_out[18] -Cell user_analog_project_wrapper(0) disconnected node: io_out[19] -Cell user_analog_project_wrapper(0) disconnected node: io_out[1] -Cell user_analog_project_wrapper(0) disconnected node: io_out[20] -Cell user_analog_project_wrapper(0) disconnected node: io_out[21] -Cell user_analog_project_wrapper(0) disconnected node: io_out[22] -Cell user_analog_project_wrapper(0) disconnected node: io_out[23] -Cell user_analog_project_wrapper(0) disconnected node: io_out[24] -Cell user_analog_project_wrapper(0) disconnected node: io_out[25] -Cell user_analog_project_wrapper(0) disconnected node: io_out[26] -Cell user_analog_project_wrapper(0) disconnected node: io_out[2] -Cell user_analog_project_wrapper(0) disconnected node: io_out[3] -Cell user_analog_project_wrapper(0) disconnected node: io_out[4] -Cell user_analog_project_wrapper(0) disconnected node: io_out[5] -Cell user_analog_project_wrapper(0) disconnected node: io_out[6] -Cell user_analog_project_wrapper(0) disconnected node: io_out[7] -Cell user_analog_project_wrapper(0) disconnected node: io_out[8] -Cell user_analog_project_wrapper(0) disconnected node: io_out[9] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[0] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[100] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[101] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[102] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[103] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[104] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[105] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[106] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[107] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[108] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[109] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[10] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[110] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[111] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[112] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[113] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[114] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[115] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[116] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[117] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[118] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[119] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[11] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[120] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[121] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[122] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[123] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[124] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[125] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[126] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[127] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[12] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[13] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[14] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[15] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[16] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[17] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[18] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[19] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[1] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[20] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[21] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[22] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[23] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[24] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[25] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[26] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[27] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[28] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[29] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[2] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[30] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[31] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[32] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[33] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[34] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[35] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[36] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[37] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[38] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[39] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[3] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[40] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[41] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[42] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[43] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[44] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[45] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[46] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[47] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[48] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[49] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[4] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[50] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[51] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[52] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[53] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[54] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[55] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[56] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[57] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[58] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[59] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[5] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[60] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[61] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[62] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[63] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[64] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[65] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[66] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[67] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[68] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[69] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[6] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[70] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[71] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[72] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[73] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[74] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[75] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[76] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[77] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[78] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[79] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[7] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[80] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[81] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[82] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[83] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[84] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[85] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[86] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[87] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[88] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[89] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[8] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[90] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[91] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[92] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[93] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[94] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[95] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[96] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[97] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[98] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[99] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[9] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[0] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[100] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[101] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[102] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[103] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[104] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[105] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[106] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[107] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[108] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[109] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[10] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[110] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[111] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[112] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[113] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[114] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[115] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[116] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[117] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[118] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[119] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[11] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[120] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[121] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[122] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[123] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[124] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[125] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[126] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[127] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[12] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[13] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[14] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[15] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[16] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[17] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[18] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[19] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[1] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[20] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[21] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[22] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[23] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[24] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[25] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[26] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[27] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[28] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[29] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[2] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[30] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[31] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[32] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[33] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[34] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[35] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[36] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[37] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[38] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[39] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[3] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[40] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[41] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[42] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[43] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[44] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[45] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[46] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[47] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[48] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[49] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[4] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[50] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[51] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[52] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[53] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[54] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[55] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[56] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[57] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[58] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[59] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[5] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[60] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[61] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[62] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[63] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[64] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[65] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[66] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[67] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[68] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[69] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[6] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[70] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[71] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[72] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[73] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[74] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[75] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[76] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[77] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[78] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[79] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[7] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[80] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[81] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[82] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[83] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[84] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[85] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[86] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[87] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[88] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[89] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[8] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[90] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[91] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[92] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[93] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[94] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[95] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[96] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[97] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[98] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[99] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[9] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[0] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[100] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[101] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[102] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[103] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[104] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[105] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[106] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[107] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[108] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[109] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[10] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[110] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[111] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[112] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[113] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[114] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[115] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[116] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[117] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[118] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[119] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[11] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[120] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[121] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[122] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[123] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[124] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[125] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[126] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[127] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[12] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[13] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[14] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[15] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[16] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[17] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[18] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[19] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[1] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[20] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[21] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[22] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[23] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[24] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[25] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[26] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[27] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[28] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[29] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[2] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[30] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[31] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[32] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[33] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[34] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[35] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[36] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[37] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[38] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[39] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[3] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[40] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[41] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[42] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[43] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[44] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[45] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[46] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[47] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[48] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[49] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[4] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[50] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[51] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[52] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[53] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[54] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[55] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[56] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[57] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[58] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[59] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[5] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[60] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[61] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[62] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[63] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[64] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[65] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[66] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[67] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[68] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[69] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[6] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[70] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[71] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[72] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[73] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[74] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[75] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[76] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[77] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[78] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[79] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[7] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[80] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[81] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[82] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[83] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[84] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[85] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[86] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[87] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[88] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[89] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[8] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[90] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[91] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[92] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[93] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[94] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[95] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[96] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[97] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[98] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[99] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[9] -Cell user_analog_project_wrapper(0) disconnected node: user_clock2 -Cell user_analog_project_wrapper(0) disconnected node: user_irq[0] -Cell user_analog_project_wrapper(0) disconnected node: user_irq[1] -Cell user_analog_project_wrapper(0) disconnected node: user_irq[2] -Cell user_analog_project_wrapper(0) disconnected node: vccd2 -Cell user_analog_project_wrapper(0) disconnected node: vdda2 -Cell user_analog_project_wrapper(0) disconnected node: vssa2 -Cell user_analog_project_wrapper(0) disconnected node: vssd2 -Cell user_analog_project_wrapper(0) disconnected node: wb_clk_i -Cell user_analog_project_wrapper(0) disconnected node: wb_rst_i -Cell user_analog_project_wrapper(0) disconnected node: wbs_ack_o -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[10] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[11] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[12] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[13] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[14] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[15] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[16] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[17] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[18] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[19] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[20] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[21] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[22] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[23] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[24] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[25] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[26] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[27] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[28] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[29] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[30] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[31] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[4] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[5] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[6] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[7] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[8] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[9] -Cell user_analog_project_wrapper(0) disconnected node: wbs_cyc_i -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[10] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[11] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[12] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[13] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[14] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[15] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[16] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[17] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[18] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[19] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[20] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[21] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[22] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[23] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[24] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[25] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[26] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[27] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[28] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[29] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[30] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[31] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[4] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[5] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[6] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[7] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[8] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[9] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[10] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[11] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[12] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[13] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[14] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[15] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[16] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[17] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[18] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[19] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[20] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[21] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[22] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[23] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[24] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[25] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[26] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[27] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[28] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[29] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[30] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[31] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[4] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[5] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[6] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[7] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[8] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[9] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_stb_i -Cell user_analog_project_wrapper(0) disconnected node: wbs_we_i -Cell user_analog_project_wrapper(1) disconnected node: vdda2 -Cell user_analog_project_wrapper(1) disconnected node: vssa2 -Cell user_analog_project_wrapper(1) disconnected node: vccd2 -Cell user_analog_project_wrapper(1) disconnected node: vssd2 -Cell user_analog_project_wrapper(1) disconnected node: wb_clk_i -Cell user_analog_project_wrapper(1) disconnected node: wb_rst_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_stb_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_cyc_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_we_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[0] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[31] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[30] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[29] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[28] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[27] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[26] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[25] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[24] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[23] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[22] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[21] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[20] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[19] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[18] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[17] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[16] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[15] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[14] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[13] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[12] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[11] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[10] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[9] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[8] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[7] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[6] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[5] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[4] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[0] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[31] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[30] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[29] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[28] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[27] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[26] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[25] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[24] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[23] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[22] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[21] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[20] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[19] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[18] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[17] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[16] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[15] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[14] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[13] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[12] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[11] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[10] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[9] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[8] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[7] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[6] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[5] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[4] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[0] -Cell user_analog_project_wrapper(1) disconnected node: wbs_ack_o -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[31] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[30] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[29] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[28] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[27] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[26] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[25] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[24] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[23] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[22] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[21] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[20] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[19] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[18] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[17] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[16] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[15] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[14] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[13] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[12] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[11] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[10] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[9] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[8] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[7] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[6] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[5] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[4] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[0] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[127] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[126] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[125] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[124] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[123] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[122] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[121] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[120] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[119] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[118] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[117] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[116] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[115] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[114] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[113] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[112] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[111] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[110] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[109] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[108] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[107] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[106] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[105] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[104] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[103] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[102] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[101] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[100] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[99] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[98] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[97] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[96] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[95] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[94] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[93] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[92] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[91] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[90] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[89] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[88] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[87] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[86] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[85] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[84] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[83] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[82] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[81] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[80] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[79] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[78] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[77] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[76] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[75] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[74] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[73] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[72] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[71] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[70] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[69] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[68] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[67] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[66] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[65] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[64] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[63] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[62] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[61] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[60] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[59] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[58] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[57] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[56] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[55] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[54] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[53] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[52] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[51] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[50] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[49] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[48] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[47] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[46] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[45] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[44] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[43] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[42] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[41] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[40] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[39] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[38] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[37] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[36] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[35] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[34] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[33] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[32] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[31] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[30] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[29] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[28] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[27] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[26] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[25] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[24] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[23] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[22] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[21] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[20] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[19] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[18] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[17] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[16] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[15] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[14] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[13] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[12] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[11] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[10] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[9] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[8] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[7] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[6] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[5] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[4] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[3] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[2] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[1] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[0] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[127] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[126] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[125] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[124] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[123] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[122] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[121] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[120] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[119] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[118] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[117] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[116] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[115] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[114] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[113] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[112] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[111] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[110] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[109] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[108] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[107] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[106] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[105] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[104] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[103] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[102] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[101] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[100] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[99] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[98] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[97] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[96] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[95] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[94] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[93] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[92] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[91] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[90] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[89] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[88] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[87] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[86] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[85] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[84] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[83] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[82] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[81] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[80] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[79] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[78] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[77] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[76] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[75] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[74] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[73] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[72] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[71] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[70] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[69] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[68] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[67] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[66] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[65] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[64] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[63] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[62] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[61] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[60] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[59] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[58] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[57] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[56] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[55] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[54] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[53] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[52] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[51] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[50] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[49] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[48] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[47] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[46] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[45] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[44] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[43] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[42] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[41] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[40] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[39] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[38] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[37] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[36] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[35] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[34] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[33] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[32] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[31] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[30] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[29] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[28] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[27] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[26] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[25] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[24] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[23] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[22] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[21] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[20] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[19] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[18] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[17] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[16] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[15] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[14] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[13] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[12] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[11] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[10] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[9] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[8] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[7] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[6] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[5] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[4] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[3] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[2] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[1] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[0] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[127] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[126] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[125] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[124] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[123] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[122] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[121] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[120] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[119] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[118] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[117] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[116] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[115] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[114] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[113] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[112] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[111] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[110] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[109] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[108] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[107] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[106] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[105] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[104] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[103] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[102] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[101] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[100] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[99] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[98] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[97] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[96] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[95] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[94] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[93] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[92] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[91] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[90] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[89] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[88] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[87] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[86] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[85] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[84] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[83] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[82] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[81] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[80] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[79] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[78] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[77] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[76] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[75] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[74] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[73] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[72] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[71] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[70] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[69] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[68] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[67] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[66] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[65] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[64] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[63] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[62] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[61] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[60] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[59] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[58] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[57] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[56] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[55] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[54] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[53] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[52] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[51] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[50] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[49] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[48] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[47] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[46] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[45] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[44] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[43] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[42] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[41] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[40] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[39] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[38] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[37] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[36] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[35] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[34] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[33] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[32] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[31] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[30] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[29] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[28] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[27] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[26] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[25] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[24] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[23] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[22] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[21] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[20] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[19] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[18] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[17] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[16] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[15] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[14] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[13] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[12] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[11] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[10] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[9] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[8] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[7] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[6] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[5] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[4] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[3] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[2] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[1] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[0] -Cell user_analog_project_wrapper(1) disconnected node: io_in[26] -Cell user_analog_project_wrapper(1) disconnected node: io_in[25] -Cell user_analog_project_wrapper(1) disconnected node: io_in[24] -Cell user_analog_project_wrapper(1) disconnected node: io_in[23] -Cell user_analog_project_wrapper(1) disconnected node: io_in[22] -Cell user_analog_project_wrapper(1) disconnected node: io_in[21] -Cell user_analog_project_wrapper(1) disconnected node: io_in[20] -Cell user_analog_project_wrapper(1) disconnected node: io_in[19] -Cell user_analog_project_wrapper(1) disconnected node: io_in[18] -Cell user_analog_project_wrapper(1) disconnected node: io_in[17] -Cell user_analog_project_wrapper(1) disconnected node: io_in[16] -Cell user_analog_project_wrapper(1) disconnected node: io_in[15] -Cell user_analog_project_wrapper(1) disconnected node: io_in[14] -Cell user_analog_project_wrapper(1) disconnected node: io_in[13] -Cell user_analog_project_wrapper(1) disconnected node: io_in[12] -Cell user_analog_project_wrapper(1) disconnected node: io_in[11] -Cell user_analog_project_wrapper(1) disconnected node: io_in[10] -Cell user_analog_project_wrapper(1) disconnected node: io_in[9] -Cell user_analog_project_wrapper(1) disconnected node: io_in[8] -Cell user_analog_project_wrapper(1) disconnected node: io_in[7] -Cell user_analog_project_wrapper(1) disconnected node: io_in[6] -Cell user_analog_project_wrapper(1) disconnected node: io_in[5] -Cell user_analog_project_wrapper(1) disconnected node: io_in[4] -Cell user_analog_project_wrapper(1) disconnected node: io_in[3] -Cell user_analog_project_wrapper(1) disconnected node: io_in[2] -Cell user_analog_project_wrapper(1) disconnected node: io_in[1] -Cell user_analog_project_wrapper(1) disconnected node: io_in[0] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[26] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[25] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[24] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[23] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[22] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[21] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[20] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[19] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[18] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[17] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[16] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[15] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[14] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[13] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[12] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[11] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[10] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[9] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[8] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[7] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[6] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[5] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[4] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[3] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[2] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[1] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[0] -Cell user_analog_project_wrapper(1) disconnected node: io_out[26] -Cell user_analog_project_wrapper(1) disconnected node: io_out[25] -Cell user_analog_project_wrapper(1) disconnected node: io_out[24] -Cell user_analog_project_wrapper(1) disconnected node: io_out[23] -Cell user_analog_project_wrapper(1) disconnected node: io_out[22] -Cell user_analog_project_wrapper(1) disconnected node: io_out[21] -Cell user_analog_project_wrapper(1) disconnected node: io_out[20] -Cell user_analog_project_wrapper(1) disconnected node: io_out[19] -Cell user_analog_project_wrapper(1) disconnected node: io_out[18] -Cell user_analog_project_wrapper(1) disconnected node: io_out[17] -Cell user_analog_project_wrapper(1) disconnected node: io_out[14] -Cell user_analog_project_wrapper(1) disconnected node: io_out[13] -Cell user_analog_project_wrapper(1) disconnected node: io_out[10] -Cell user_analog_project_wrapper(1) disconnected node: io_out[9] -Cell user_analog_project_wrapper(1) disconnected node: io_out[8] -Cell user_analog_project_wrapper(1) disconnected node: io_out[7] -Cell user_analog_project_wrapper(1) disconnected node: io_out[6] -Cell user_analog_project_wrapper(1) disconnected node: io_out[5] -Cell user_analog_project_wrapper(1) disconnected node: io_out[4] -Cell user_analog_project_wrapper(1) disconnected node: io_out[3] -Cell user_analog_project_wrapper(1) disconnected node: io_out[2] -Cell user_analog_project_wrapper(1) disconnected node: io_out[1] -Cell user_analog_project_wrapper(1) disconnected node: io_out[0] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[26] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[25] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[24] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[23] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[22] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[21] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[20] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[19] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[18] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[17] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[14] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[13] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[10] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[9] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[8] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[7] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[6] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[5] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[4] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[3] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[2] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[1] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[0] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[17] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[16] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[15] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[14] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[13] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[12] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[11] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[10] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[9] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[8] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[6] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[5] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[4] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[2] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[1] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[0] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[17] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[16] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[15] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[14] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[13] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[12] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[11] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[10] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[9] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[8] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[7] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[6] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[5] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[4] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[3] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[2] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[1] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[0] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[10] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[9] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[8] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[7] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[6] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[5] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[3] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[2] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[1] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[0] -Cell user_analog_project_wrapper(1) disconnected node: user_clock2 -Cell user_analog_project_wrapper(1) disconnected node: user_irq[2] -Cell user_analog_project_wrapper(1) disconnected node: user_irq[1] -Cell user_analog_project_wrapper(1) disconnected node: user_irq[0] -Subcircuit summary: -Circuit 1: user_analog_project_wrapper |Circuit 2: user_analog_project_wrapper --------------------------------------------|------------------------------------------- -example_por (2) |example_por (2) -sky130_fd_pr__res_generic_m3 (10) |sky130_fd_pr__res_generic_m3 (10) -Number of devices: 12 |Number of devices: 12 -Number of nets: 21 |Number of nets: 21 ---------------------------------------------------------------------------------------- -Resolving automorphisms by property value. -Resolving automorphisms by pin name. -Netlists match uniquely. -Circuits match correctly. - -Subcircuit pins: -Circuit 1: user_analog_project_wrapper |Circuit 2: user_analog_project_wrapper --------------------------------------------|------------------------------------------- -vssd1 |vssd1 -vssa1 |vssa1 -vccd1 |vccd1 -io_analog[4] |io_analog[4] -vdda1 |vdda1 -gpio_analog[3] |gpio_analog[3] -io_out[11] |io_out[11] -io_out[12] |io_out[12] -gpio_analog[7] |gpio_analog[7] -io_out[15] |io_out[15] -io_out[16] |io_out[16] -io_clamp_low[2] |io_clamp_low[2] -io_clamp_high[2] |io_clamp_high[2] -io_clamp_low[1] |io_clamp_low[1] -io_clamp_high[1] |io_clamp_high[1] -io_clamp_low[0] |io_clamp_low[0] -io_clamp_high[0] |io_clamp_high[0] -io_oeb[12] |io_oeb[12] -io_oeb[16] |io_oeb[16] -io_oeb[11] |io_oeb[11] -io_oeb[15] |io_oeb[15] -gpio_analog[0] |gpio_analog[0] -gpio_analog[10] |gpio_analog[10] -gpio_analog[11] |gpio_analog[11] -gpio_analog[12] |gpio_analog[12] -gpio_analog[13] |gpio_analog[13] -gpio_analog[14] |gpio_analog[14] -gpio_analog[15] |gpio_analog[15] -gpio_analog[16] |gpio_analog[16] -gpio_analog[17] |gpio_analog[17] -gpio_analog[1] |gpio_analog[1] -gpio_analog[2] |gpio_analog[2] -gpio_analog[4] |gpio_analog[4] -gpio_analog[5] |gpio_analog[5] -gpio_analog[6] |gpio_analog[6] -gpio_analog[8] |gpio_analog[8] -gpio_analog[9] |gpio_analog[9] -gpio_noesd[0] |gpio_noesd[0] -gpio_noesd[10] |gpio_noesd[10] -gpio_noesd[11] |gpio_noesd[11] -gpio_noesd[12] |gpio_noesd[12] -gpio_noesd[13] |gpio_noesd[13] -gpio_noesd[14] |gpio_noesd[14] -gpio_noesd[15] |gpio_noesd[15] -gpio_noesd[16] |gpio_noesd[16] -gpio_noesd[17] |gpio_noesd[17] -gpio_noesd[1] |gpio_noesd[1] -gpio_noesd[2] |gpio_noesd[2] -gpio_noesd[3] |gpio_noesd[3] -gpio_noesd[4] |gpio_noesd[4] -gpio_noesd[5] |gpio_noesd[5] -gpio_noesd[6] |gpio_noesd[6] -gpio_noesd[7] |gpio_noesd[7] -gpio_noesd[8] |gpio_noesd[8] -gpio_noesd[9] |gpio_noesd[9] -io_analog[0] |io_analog[0] -io_analog[10] |io_analog[10] -io_analog[1] |io_analog[1] -io_analog[2] |io_analog[2] -io_analog[3] |io_analog[3] -io_analog[7] |io_analog[7] -io_analog[8] |io_analog[8] -io_analog[9] |io_analog[9] -io_analog[5] |io_analog[5] -io_analog[6] |io_analog[6] -io_in[0] |io_in[0] -io_in[10] |io_in[10] -io_in[11] |io_in[11] -io_in[12] |io_in[12] -io_in[13] |io_in[13] -io_in[14] |io_in[14] -io_in[15] |io_in[15] -io_in[16] |io_in[16] -io_in[17] |io_in[17] -io_in[18] |io_in[18] -io_in[19] |io_in[19] -io_in[1] |io_in[1] -io_in[20] |io_in[20] -io_in[21] |io_in[21] -io_in[22] |io_in[22] -io_in[23] |io_in[23] -io_in[24] |io_in[24] -io_in[25] |io_in[25] -io_in[26] |io_in[26] -io_in[2] |io_in[2] -io_in[3] |io_in[3] -io_in[4] |io_in[4] -io_in[5] |io_in[5] -io_in[6] |io_in[6] -io_in[7] |io_in[7] -io_in[8] |io_in[8] -io_in[9] |io_in[9] -io_in_3v3[0] |io_in_3v3[0] -io_in_3v3[10] |io_in_3v3[10] -io_in_3v3[11] |io_in_3v3[11] -io_in_3v3[12] |io_in_3v3[12] -io_in_3v3[13] |io_in_3v3[13] -io_in_3v3[14] |io_in_3v3[14] -io_in_3v3[15] |io_in_3v3[15] -io_in_3v3[16] |io_in_3v3[16] -io_in_3v3[17] |io_in_3v3[17] -io_in_3v3[18] |io_in_3v3[18] -io_in_3v3[19] |io_in_3v3[19] -io_in_3v3[1] |io_in_3v3[1] -io_in_3v3[20] |io_in_3v3[20] -io_in_3v3[21] |io_in_3v3[21] -io_in_3v3[22] |io_in_3v3[22] -io_in_3v3[23] |io_in_3v3[23] -io_in_3v3[24] |io_in_3v3[24] -io_in_3v3[25] |io_in_3v3[25] -io_in_3v3[26] |io_in_3v3[26] -io_in_3v3[2] |io_in_3v3[2] -io_in_3v3[3] |io_in_3v3[3] -io_in_3v3[4] |io_in_3v3[4] -io_in_3v3[5] |io_in_3v3[5] -io_in_3v3[6] |io_in_3v3[6] -io_in_3v3[7] |io_in_3v3[7] -io_in_3v3[8] |io_in_3v3[8] -io_in_3v3[9] |io_in_3v3[9] -io_oeb[0] |io_oeb[0] -io_oeb[10] |io_oeb[10] -io_oeb[13] |io_oeb[13] -io_oeb[14] |io_oeb[14] -io_oeb[17] |io_oeb[17] -io_oeb[18] |io_oeb[18] -io_oeb[19] |io_oeb[19] -io_oeb[1] |io_oeb[1] -io_oeb[20] |io_oeb[20] -io_oeb[21] |io_oeb[21] -io_oeb[22] |io_oeb[22] -io_oeb[23] |io_oeb[23] -io_oeb[24] |io_oeb[24] -io_oeb[25] |io_oeb[25] -io_oeb[26] |io_oeb[26] -io_oeb[2] |io_oeb[2] -io_oeb[3] |io_oeb[3] -io_oeb[4] |io_oeb[4] -io_oeb[5] |io_oeb[5] -io_oeb[6] |io_oeb[6] -io_oeb[7] |io_oeb[7] -io_oeb[8] |io_oeb[8] -io_oeb[9] |io_oeb[9] -io_out[0] |io_out[0] -io_out[10] |io_out[10] -io_out[13] |io_out[13] -io_out[14] |io_out[14] -io_out[17] |io_out[17] -io_out[18] |io_out[18] -io_out[19] |io_out[19] -io_out[1] |io_out[1] -io_out[20] |io_out[20] -io_out[21] |io_out[21] -io_out[22] |io_out[22] -io_out[23] |io_out[23] -io_out[24] |io_out[24] -io_out[25] |io_out[25] -io_out[26] |io_out[26] -io_out[2] |io_out[2] -io_out[3] |io_out[3] -io_out[4] |io_out[4] -io_out[5] |io_out[5] -io_out[6] |io_out[6] -io_out[7] |io_out[7] -io_out[8] |io_out[8] -io_out[9] |io_out[9] -la_data_in[0] |la_data_in[0] -la_data_in[100] |la_data_in[100] -la_data_in[101] |la_data_in[101] -la_data_in[102] |la_data_in[102] -la_data_in[103] |la_data_in[103] -la_data_in[104] |la_data_in[104] -la_data_in[105] |la_data_in[105] -la_data_in[106] |la_data_in[106] -la_data_in[107] |la_data_in[107] -la_data_in[108] |la_data_in[108] -la_data_in[109] |la_data_in[109] -la_data_in[10] |la_data_in[10] -la_data_in[110] |la_data_in[110] -la_data_in[111] |la_data_in[111] -la_data_in[112] |la_data_in[112] -la_data_in[113] |la_data_in[113] -la_data_in[114] |la_data_in[114] -la_data_in[115] |la_data_in[115] -la_data_in[116] |la_data_in[116] -la_data_in[117] |la_data_in[117] -la_data_in[118] |la_data_in[118] -la_data_in[119] |la_data_in[119] -la_data_in[11] |la_data_in[11] -la_data_in[120] |la_data_in[120] -la_data_in[121] |la_data_in[121] -la_data_in[122] |la_data_in[122] -la_data_in[123] |la_data_in[123] -la_data_in[124] |la_data_in[124] -la_data_in[125] |la_data_in[125] -la_data_in[126] |la_data_in[126] -la_data_in[127] |la_data_in[127] -la_data_in[12] |la_data_in[12] -la_data_in[13] |la_data_in[13] -la_data_in[14] |la_data_in[14] -la_data_in[15] |la_data_in[15] -la_data_in[16] |la_data_in[16] -la_data_in[17] |la_data_in[17] -la_data_in[18] |la_data_in[18] -la_data_in[19] |la_data_in[19] -la_data_in[1] |la_data_in[1] -la_data_in[20] |la_data_in[20] -la_data_in[21] |la_data_in[21] -la_data_in[22] |la_data_in[22] -la_data_in[23] |la_data_in[23] -la_data_in[24] |la_data_in[24] -la_data_in[25] |la_data_in[25] -la_data_in[26] |la_data_in[26] -la_data_in[27] |la_data_in[27] -la_data_in[28] |la_data_in[28] -la_data_in[29] |la_data_in[29] -la_data_in[2] |la_data_in[2] -la_data_in[30] |la_data_in[30] -la_data_in[31] |la_data_in[31] -la_data_in[32] |la_data_in[32] -la_data_in[33] |la_data_in[33] -la_data_in[34] |la_data_in[34] -la_data_in[35] |la_data_in[35] -la_data_in[36] |la_data_in[36] -la_data_in[37] |la_data_in[37] -la_data_in[38] |la_data_in[38] -la_data_in[39] |la_data_in[39] -la_data_in[3] |la_data_in[3] -la_data_in[40] |la_data_in[40] -la_data_in[41] |la_data_in[41] -la_data_in[42] |la_data_in[42] -la_data_in[43] |la_data_in[43] -la_data_in[44] |la_data_in[44] -la_data_in[45] |la_data_in[45] -la_data_in[46] |la_data_in[46] -la_data_in[47] |la_data_in[47] -la_data_in[48] |la_data_in[48] -la_data_in[49] |la_data_in[49] -la_data_in[4] |la_data_in[4] -la_data_in[50] |la_data_in[50] -la_data_in[51] |la_data_in[51] -la_data_in[52] |la_data_in[52] -la_data_in[53] |la_data_in[53] -la_data_in[54] |la_data_in[54] -la_data_in[55] |la_data_in[55] -la_data_in[56] |la_data_in[56] -la_data_in[57] |la_data_in[57] -la_data_in[58] |la_data_in[58] -la_data_in[59] |la_data_in[59] -la_data_in[5] |la_data_in[5] -la_data_in[60] |la_data_in[60] -la_data_in[61] |la_data_in[61] -la_data_in[62] |la_data_in[62] -la_data_in[63] |la_data_in[63] -la_data_in[64] |la_data_in[64] -la_data_in[65] |la_data_in[65] -la_data_in[66] |la_data_in[66] -la_data_in[67] |la_data_in[67] -la_data_in[68] |la_data_in[68] -la_data_in[69] |la_data_in[69] -la_data_in[6] |la_data_in[6] -la_data_in[70] |la_data_in[70] -la_data_in[71] |la_data_in[71] -la_data_in[72] |la_data_in[72] -la_data_in[73] |la_data_in[73] -la_data_in[74] |la_data_in[74] -la_data_in[75] |la_data_in[75] -la_data_in[76] |la_data_in[76] -la_data_in[77] |la_data_in[77] -la_data_in[78] |la_data_in[78] -la_data_in[79] |la_data_in[79] -la_data_in[7] |la_data_in[7] -la_data_in[80] |la_data_in[80] -la_data_in[81] |la_data_in[81] -la_data_in[82] |la_data_in[82] -la_data_in[83] |la_data_in[83] -la_data_in[84] |la_data_in[84] -la_data_in[85] |la_data_in[85] -la_data_in[86] |la_data_in[86] -la_data_in[87] |la_data_in[87] -la_data_in[88] |la_data_in[88] -la_data_in[89] |la_data_in[89] -la_data_in[8] |la_data_in[8] -la_data_in[90] |la_data_in[90] -la_data_in[91] |la_data_in[91] -la_data_in[92] |la_data_in[92] -la_data_in[93] |la_data_in[93] -la_data_in[94] |la_data_in[94] -la_data_in[95] |la_data_in[95] -la_data_in[96] |la_data_in[96] -la_data_in[97] |la_data_in[97] -la_data_in[98] |la_data_in[98] -la_data_in[99] |la_data_in[99] -la_data_in[9] |la_data_in[9] -la_data_out[0] |la_data_out[0] -la_data_out[100] |la_data_out[100] -la_data_out[101] |la_data_out[101] -la_data_out[102] |la_data_out[102] -la_data_out[103] |la_data_out[103] -la_data_out[104] |la_data_out[104] -la_data_out[105] |la_data_out[105] -la_data_out[106] |la_data_out[106] -la_data_out[107] |la_data_out[107] -la_data_out[108] |la_data_out[108] -la_data_out[109] |la_data_out[109] -la_data_out[10] |la_data_out[10] -la_data_out[110] |la_data_out[110] -la_data_out[111] |la_data_out[111] -la_data_out[112] |la_data_out[112] -la_data_out[113] |la_data_out[113] -la_data_out[114] |la_data_out[114] -la_data_out[115] |la_data_out[115] -la_data_out[116] |la_data_out[116] -la_data_out[117] |la_data_out[117] -la_data_out[118] |la_data_out[118] -la_data_out[119] |la_data_out[119] -la_data_out[11] |la_data_out[11] -la_data_out[120] |la_data_out[120] -la_data_out[121] |la_data_out[121] -la_data_out[122] |la_data_out[122] -la_data_out[123] |la_data_out[123] -la_data_out[124] |la_data_out[124] -la_data_out[125] |la_data_out[125] -la_data_out[126] |la_data_out[126] -la_data_out[127] |la_data_out[127] -la_data_out[12] |la_data_out[12] -la_data_out[13] |la_data_out[13] -la_data_out[14] |la_data_out[14] -la_data_out[15] |la_data_out[15] -la_data_out[16] |la_data_out[16] -la_data_out[17] |la_data_out[17] -la_data_out[18] |la_data_out[18] -la_data_out[19] |la_data_out[19] -la_data_out[1] |la_data_out[1] -la_data_out[20] |la_data_out[20] -la_data_out[21] |la_data_out[21] -la_data_out[22] |la_data_out[22] -la_data_out[23] |la_data_out[23] -la_data_out[24] |la_data_out[24] -la_data_out[25] |la_data_out[25] -la_data_out[26] |la_data_out[26] -la_data_out[27] |la_data_out[27] -la_data_out[28] |la_data_out[28] -la_data_out[29] |la_data_out[29] -la_data_out[2] |la_data_out[2] -la_data_out[30] |la_data_out[30] -la_data_out[31] |la_data_out[31] -la_data_out[32] |la_data_out[32] -la_data_out[33] |la_data_out[33] -la_data_out[34] |la_data_out[34] -la_data_out[35] |la_data_out[35] -la_data_out[36] |la_data_out[36] -la_data_out[37] |la_data_out[37] -la_data_out[38] |la_data_out[38] -la_data_out[39] |la_data_out[39] -la_data_out[3] |la_data_out[3] -la_data_out[40] |la_data_out[40] -la_data_out[41] |la_data_out[41] -la_data_out[42] |la_data_out[42] -la_data_out[43] |la_data_out[43] -la_data_out[44] |la_data_out[44] -la_data_out[45] |la_data_out[45] -la_data_out[46] |la_data_out[46] -la_data_out[47] |la_data_out[47] -la_data_out[48] |la_data_out[48] -la_data_out[49] |la_data_out[49] -la_data_out[4] |la_data_out[4] -la_data_out[50] |la_data_out[50] -la_data_out[51] |la_data_out[51] -la_data_out[52] |la_data_out[52] -la_data_out[53] |la_data_out[53] -la_data_out[54] |la_data_out[54] -la_data_out[55] |la_data_out[55] -la_data_out[56] |la_data_out[56] -la_data_out[57] |la_data_out[57] -la_data_out[58] |la_data_out[58] -la_data_out[59] |la_data_out[59] -la_data_out[5] |la_data_out[5] -la_data_out[60] |la_data_out[60] -la_data_out[61] |la_data_out[61] -la_data_out[62] |la_data_out[62] -la_data_out[63] |la_data_out[63] -la_data_out[64] |la_data_out[64] -la_data_out[65] |la_data_out[65] -la_data_out[66] |la_data_out[66] -la_data_out[67] |la_data_out[67] -la_data_out[68] |la_data_out[68] -la_data_out[69] |la_data_out[69] -la_data_out[6] |la_data_out[6] -la_data_out[70] |la_data_out[70] -la_data_out[71] |la_data_out[71] -la_data_out[72] |la_data_out[72] -la_data_out[73] |la_data_out[73] -la_data_out[74] |la_data_out[74] -la_data_out[75] |la_data_out[75] -la_data_out[76] |la_data_out[76] -la_data_out[77] |la_data_out[77] -la_data_out[78] |la_data_out[78] -la_data_out[79] |la_data_out[79] -la_data_out[7] |la_data_out[7] -la_data_out[80] |la_data_out[80] -la_data_out[81] |la_data_out[81] -la_data_out[82] |la_data_out[82] -la_data_out[83] |la_data_out[83] -la_data_out[84] |la_data_out[84] -la_data_out[85] |la_data_out[85] -la_data_out[86] |la_data_out[86] -la_data_out[87] |la_data_out[87] -la_data_out[88] |la_data_out[88] -la_data_out[89] |la_data_out[89] -la_data_out[8] |la_data_out[8] -la_data_out[90] |la_data_out[90] -la_data_out[91] |la_data_out[91] -la_data_out[92] |la_data_out[92] -la_data_out[93] |la_data_out[93] -la_data_out[94] |la_data_out[94] -la_data_out[95] |la_data_out[95] -la_data_out[96] |la_data_out[96] -la_data_out[97] |la_data_out[97] -la_data_out[98] |la_data_out[98] -la_data_out[99] |la_data_out[99] -la_data_out[9] |la_data_out[9] -la_oenb[0] |la_oenb[0] -la_oenb[100] |la_oenb[100] -la_oenb[101] |la_oenb[101] -la_oenb[102] |la_oenb[102] -la_oenb[103] |la_oenb[103] -la_oenb[104] |la_oenb[104] -la_oenb[105] |la_oenb[105] -la_oenb[106] |la_oenb[106] -la_oenb[107] |la_oenb[107] -la_oenb[108] |la_oenb[108] -la_oenb[109] |la_oenb[109] -la_oenb[10] |la_oenb[10] -la_oenb[110] |la_oenb[110] -la_oenb[111] |la_oenb[111] -la_oenb[112] |la_oenb[112] -la_oenb[113] |la_oenb[113] -la_oenb[114] |la_oenb[114] -la_oenb[115] |la_oenb[115] -la_oenb[116] |la_oenb[116] -la_oenb[117] |la_oenb[117] -la_oenb[118] |la_oenb[118] -la_oenb[119] |la_oenb[119] -la_oenb[11] |la_oenb[11] -la_oenb[120] |la_oenb[120] -la_oenb[121] |la_oenb[121] -la_oenb[122] |la_oenb[122] -la_oenb[123] |la_oenb[123] -la_oenb[124] |la_oenb[124] -la_oenb[125] |la_oenb[125] -la_oenb[126] |la_oenb[126] -la_oenb[127] |la_oenb[127] -la_oenb[12] |la_oenb[12] -la_oenb[13] |la_oenb[13] -la_oenb[14] |la_oenb[14] -la_oenb[15] |la_oenb[15] -la_oenb[16] |la_oenb[16] -la_oenb[17] |la_oenb[17] -la_oenb[18] |la_oenb[18] -la_oenb[19] |la_oenb[19] -la_oenb[1] |la_oenb[1] -la_oenb[20] |la_oenb[20] -la_oenb[21] |la_oenb[21] -la_oenb[22] |la_oenb[22] -la_oenb[23] |la_oenb[23] -la_oenb[24] |la_oenb[24] -la_oenb[25] |la_oenb[25] -la_oenb[26] |la_oenb[26] -la_oenb[27] |la_oenb[27] -la_oenb[28] |la_oenb[28] -la_oenb[29] |la_oenb[29] -la_oenb[2] |la_oenb[2] -la_oenb[30] |la_oenb[30] -la_oenb[31] |la_oenb[31] -la_oenb[32] |la_oenb[32] -la_oenb[33] |la_oenb[33] -la_oenb[34] |la_oenb[34] -la_oenb[35] |la_oenb[35] -la_oenb[36] |la_oenb[36] -la_oenb[37] |la_oenb[37] -la_oenb[38] |la_oenb[38] -la_oenb[39] |la_oenb[39] -la_oenb[3] |la_oenb[3] -la_oenb[40] |la_oenb[40] -la_oenb[41] |la_oenb[41] -la_oenb[42] |la_oenb[42] -la_oenb[43] |la_oenb[43] -la_oenb[44] |la_oenb[44] -la_oenb[45] |la_oenb[45] -la_oenb[46] |la_oenb[46] -la_oenb[47] |la_oenb[47] -la_oenb[48] |la_oenb[48] -la_oenb[49] |la_oenb[49] -la_oenb[4] |la_oenb[4] -la_oenb[50] |la_oenb[50] -la_oenb[51] |la_oenb[51] -la_oenb[52] |la_oenb[52] -la_oenb[53] |la_oenb[53] -la_oenb[54] |la_oenb[54] -la_oenb[55] |la_oenb[55] -la_oenb[56] |la_oenb[56] -la_oenb[57] |la_oenb[57] -la_oenb[58] |la_oenb[58] -la_oenb[59] |la_oenb[59] -la_oenb[5] |la_oenb[5] -la_oenb[60] |la_oenb[60] -la_oenb[61] |la_oenb[61] -la_oenb[62] |la_oenb[62] -la_oenb[63] |la_oenb[63] -la_oenb[64] |la_oenb[64] -la_oenb[65] |la_oenb[65] -la_oenb[66] |la_oenb[66] -la_oenb[67] |la_oenb[67] -la_oenb[68] |la_oenb[68] -la_oenb[69] |la_oenb[69] -la_oenb[6] |la_oenb[6] -la_oenb[70] |la_oenb[70] -la_oenb[71] |la_oenb[71] -la_oenb[72] |la_oenb[72] -la_oenb[73] |la_oenb[73] -la_oenb[74] |la_oenb[74] -la_oenb[75] |la_oenb[75] -la_oenb[76] |la_oenb[76] -la_oenb[77] |la_oenb[77] -la_oenb[78] |la_oenb[78] -la_oenb[79] |la_oenb[79] -la_oenb[7] |la_oenb[7] -la_oenb[80] |la_oenb[80] -la_oenb[81] |la_oenb[81] -la_oenb[82] |la_oenb[82] -la_oenb[83] |la_oenb[83] -la_oenb[84] |la_oenb[84] -la_oenb[85] |la_oenb[85] -la_oenb[86] |la_oenb[86] -la_oenb[87] |la_oenb[87] -la_oenb[88] |la_oenb[88] -la_oenb[89] |la_oenb[89] -la_oenb[8] |la_oenb[8] -la_oenb[90] |la_oenb[90] -la_oenb[91] |la_oenb[91] -la_oenb[92] |la_oenb[92] -la_oenb[93] |la_oenb[93] -la_oenb[94] |la_oenb[94] -la_oenb[95] |la_oenb[95] -la_oenb[96] |la_oenb[96] -la_oenb[97] |la_oenb[97] -la_oenb[98] |la_oenb[98] -la_oenb[99] |la_oenb[99] -la_oenb[9] |la_oenb[9] -user_clock2 |user_clock2 -user_irq[0] |user_irq[0] -user_irq[1] |user_irq[1] -user_irq[2] |user_irq[2] -vccd2 |vccd2 -vdda2 |vdda2 -vssa2 |vssa2 -vssd2 |vssd2 -wb_clk_i |wb_clk_i -wb_rst_i |wb_rst_i -wbs_ack_o |wbs_ack_o -wbs_adr_i[0] |wbs_adr_i[0] -wbs_adr_i[10] |wbs_adr_i[10] -wbs_adr_i[11] |wbs_adr_i[11] -wbs_adr_i[12] |wbs_adr_i[12] -wbs_adr_i[13] |wbs_adr_i[13] -wbs_adr_i[14] |wbs_adr_i[14] -wbs_adr_i[15] |wbs_adr_i[15] -wbs_adr_i[16] |wbs_adr_i[16] -wbs_adr_i[17] |wbs_adr_i[17] -wbs_adr_i[18] |wbs_adr_i[18] -wbs_adr_i[19] |wbs_adr_i[19] -wbs_adr_i[1] |wbs_adr_i[1] -wbs_adr_i[20] |wbs_adr_i[20] -wbs_adr_i[21] |wbs_adr_i[21] -wbs_adr_i[22] |wbs_adr_i[22] -wbs_adr_i[23] |wbs_adr_i[23] -wbs_adr_i[24] |wbs_adr_i[24] -wbs_adr_i[25] |wbs_adr_i[25] -wbs_adr_i[26] |wbs_adr_i[26] -wbs_adr_i[27] |wbs_adr_i[27] -wbs_adr_i[28] |wbs_adr_i[28] -wbs_adr_i[29] |wbs_adr_i[29] -wbs_adr_i[2] |wbs_adr_i[2] -wbs_adr_i[30] |wbs_adr_i[30] -wbs_adr_i[31] |wbs_adr_i[31] -wbs_adr_i[3] |wbs_adr_i[3] -wbs_adr_i[4] |wbs_adr_i[4] -wbs_adr_i[5] |wbs_adr_i[5] -wbs_adr_i[6] |wbs_adr_i[6] -wbs_adr_i[7] |wbs_adr_i[7] -wbs_adr_i[8] |wbs_adr_i[8] -wbs_adr_i[9] |wbs_adr_i[9] -wbs_cyc_i |wbs_cyc_i -wbs_dat_i[0] |wbs_dat_i[0] -wbs_dat_i[10] |wbs_dat_i[10] -wbs_dat_i[11] |wbs_dat_i[11] -wbs_dat_i[12] |wbs_dat_i[12] -wbs_dat_i[13] |wbs_dat_i[13] -wbs_dat_i[14] |wbs_dat_i[14] -wbs_dat_i[15] |wbs_dat_i[15] -wbs_dat_i[16] |wbs_dat_i[16] -wbs_dat_i[17] |wbs_dat_i[17] -wbs_dat_i[18] |wbs_dat_i[18] -wbs_dat_i[19] |wbs_dat_i[19] -wbs_dat_i[1] |wbs_dat_i[1] -wbs_dat_i[20] |wbs_dat_i[20] -wbs_dat_i[21] |wbs_dat_i[21] -wbs_dat_i[22] |wbs_dat_i[22] -wbs_dat_i[23] |wbs_dat_i[23] -wbs_dat_i[24] |wbs_dat_i[24] -wbs_dat_i[25] |wbs_dat_i[25] -wbs_dat_i[26] |wbs_dat_i[26] -wbs_dat_i[27] |wbs_dat_i[27] -wbs_dat_i[28] |wbs_dat_i[28] -wbs_dat_i[29] |wbs_dat_i[29] -wbs_dat_i[2] |wbs_dat_i[2] -wbs_dat_i[30] |wbs_dat_i[30] -wbs_dat_i[31] |wbs_dat_i[31] -wbs_dat_i[3] |wbs_dat_i[3] -wbs_dat_i[4] |wbs_dat_i[4] -wbs_dat_i[5] |wbs_dat_i[5] -wbs_dat_i[6] |wbs_dat_i[6] -wbs_dat_i[7] |wbs_dat_i[7] -wbs_dat_i[8] |wbs_dat_i[8] -wbs_dat_i[9] |wbs_dat_i[9] -wbs_dat_o[0] |wbs_dat_o[0] -wbs_dat_o[10] |wbs_dat_o[10] -wbs_dat_o[11] |wbs_dat_o[11] -wbs_dat_o[12] |wbs_dat_o[12] -wbs_dat_o[13] |wbs_dat_o[13] -wbs_dat_o[14] |wbs_dat_o[14] -wbs_dat_o[15] |wbs_dat_o[15] -wbs_dat_o[16] |wbs_dat_o[16] -wbs_dat_o[17] |wbs_dat_o[17] -wbs_dat_o[18] |wbs_dat_o[18] -wbs_dat_o[19] |wbs_dat_o[19] -wbs_dat_o[1] |wbs_dat_o[1] -wbs_dat_o[20] |wbs_dat_o[20] -wbs_dat_o[21] |wbs_dat_o[21] -wbs_dat_o[22] |wbs_dat_o[22] -wbs_dat_o[23] |wbs_dat_o[23] -wbs_dat_o[24] |wbs_dat_o[24] -wbs_dat_o[25] |wbs_dat_o[25] -wbs_dat_o[26] |wbs_dat_o[26] -wbs_dat_o[27] |wbs_dat_o[27] -wbs_dat_o[28] |wbs_dat_o[28] -wbs_dat_o[29] |wbs_dat_o[29] -wbs_dat_o[2] |wbs_dat_o[2] -wbs_dat_o[30] |wbs_dat_o[30] -wbs_dat_o[31] |wbs_dat_o[31] -wbs_dat_o[3] |wbs_dat_o[3] -wbs_dat_o[4] |wbs_dat_o[4] -wbs_dat_o[5] |wbs_dat_o[5] -wbs_dat_o[6] |wbs_dat_o[6] -wbs_dat_o[7] |wbs_dat_o[7] -wbs_dat_o[8] |wbs_dat_o[8] -wbs_dat_o[9] |wbs_dat_o[9] -wbs_sel_i[0] |wbs_sel_i[0] -wbs_sel_i[1] |wbs_sel_i[1] -wbs_sel_i[2] |wbs_sel_i[2] -wbs_sel_i[3] |wbs_sel_i[3] -wbs_stb_i |wbs_stb_i -wbs_we_i |wbs_we_i ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes user_analog_project_wrapper and user_analog_project_wrapper are equivalent. -Circuits match uniquely. diff --git a/netgen/example_por.spice b/netgen/example_por.spice deleted file mode 100644 index 499f397..0000000 --- a/netgen/example_por.spice +++ /dev/null @@ -1,213 +0,0 @@ -* NGSPICE file created from example_por.ext - technology: sky130A - -.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW VSUBS m4_n3179_n3100# c2_n3079_n3000# -X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_sc_hvl__buf_8 A VGND VNB VPB VPWR X -X0 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=2.9175e+12p pd=2.189e+07u as=8.475e+11p ps=7.13e+06u w=1.5e+06u l=500000u -X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=1.45875e+12p pd=1.289e+07u as=8.4e+11p ps=8.24e+06u w=750000u l=500000u -X2 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=1.68e+12p ps=1.424e+07u w=1.5e+06u l=500000u -X3 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X4 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X5 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=4.2375e+11p pd=4.13e+06u as=0p ps=0u w=750000u l=500000u -X6 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X9 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X10 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X12 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X14 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X15 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X16 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X18 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X19 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X20 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X21 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ VSUBS a_n465_n200# a_n247_n200# a_n29_n200# -+ a_843_n200# w_n1101_n497# a_n843_n297# a_625_n200# a_683_n297# a_n625_n297# a_407_n200# -+ a_465_n297# a_n407_n297# a_247_n297# a_n901_n200# a_189_n200# a_29_n297# a_n189_n297# -+ a_n683_n200# -X0 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X2 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X4 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X6 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X7 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS a_n80_n288# a_n574_n200# a_n356_n200# -+ a_n138_n200# a_n734_n288# a_574_n288# a_n516_n288# a_356_n288# a_80_n200# a_n298_n288# -+ a_138_n288# w_n962_n458# a_734_n200# a_516_n200# a_298_n200# a_n792_n200# -X0 a_516_n200# a_356_n288# a_298_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X1 a_n574_n200# a_n734_n288# a_n792_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X2 a_298_n200# a_138_n288# a_80_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X3 a_80_n200# a_n80_n288# a_n138_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X4 a_734_n200# a_574_n288# a_516_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X5 a_n356_n200# a_n516_n288# a_n574_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X6 a_n138_n200# a_n298_n288# a_n356_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 a_n2578_n2932# a_5142_2500# a_n1034_n2932# -+ a_n262_2500# a_1668_2500# a_n262_n2932# a_n3736_2500# a_3984_n2932# a_n2192_2500# -+ a_3984_2500# a_2440_n2932# a_2440_2500# a_4370_n2932# a_3598_2500# a_2054_2500# -+ a_n4508_n2932# a_510_2500# a_n4122_2500# a_n2964_n2932# a_124_2500# a_n4894_n2932# -+ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_4370_2500# a_n3350_n2932# a_n648_n2932# -+ a_n648_2500# a_n5280_n2932# a_n1420_2500# a_n2964_2500# a_n2578_2500# a_n1034_2500# -+ a_2826_n2932# a_n2192_n2932# a_2826_2500# a_4756_n2932# w_n5446_n3098# a_1282_2500# -+ a_3212_n2932# a_n4894_2500# a_n3350_2500# a_n4508_2500# a_5142_n2932# a_896_2500# -+ a_510_n2932# a_1668_n2932# a_n1806_n2932# a_4756_2500# a_n3736_n2932# a_3598_n2932# -+ a_3212_2500# a_2054_n2932# a_896_n2932# a_n5280_2500# a_n4122_n2932# a_n1806_2500# -X0 a_n3350_n2932# a_n3350_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X1 a_n4508_n2932# a_n4508_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X2 a_n2578_n2932# a_n2578_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X3 a_n1420_n2932# a_n1420_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X4 a_n4894_n2932# a_n4894_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X5 a_n3736_n2932# a_n3736_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X6 a_3598_n2932# a_3598_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X7 a_124_n2932# a_124_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X8 a_4756_n2932# a_4756_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X9 a_n2964_n2932# a_n2964_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X10 a_1668_n2932# a_1668_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X11 a_n1806_n2932# a_n1806_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X12 a_n648_n2932# a_n648_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X13 a_3984_n2932# a_3984_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X14 a_2826_n2932# a_2826_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X15 a_510_n2932# a_510_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X16 a_n4122_n2932# a_n4122_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X17 a_n2192_n2932# a_n2192_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X18 a_5142_n2932# a_5142_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X19 a_n1034_n2932# a_n1034_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X20 a_2054_n2932# a_2054_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X21 a_4370_n2932# a_4370_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X22 a_3212_n2932# a_3212_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X23 a_1282_n2932# a_1282_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X24 a_n262_n2932# a_n262_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X25 a_n5280_n2932# a_n5280_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X26 a_2440_n2932# a_2440_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X27 a_896_n2932# a_896_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB VSUBS a_n138_n200# w_n338_n497# a_80_n200# -+ a_n80_n297# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VNB VPB VPWR X -X0 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u -X1 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=4.0875e+11p pd=4.09e+06u as=1.9875e+11p ps=2.03e+06u w=750000u l=500000u -X2 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=2.289e+11p pd=2.77e+06u as=1.113e+11p ps=1.37e+06u w=420000u l=500000u -X3 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=1.02225e+12p pd=5.2e+06u as=0p ps=0u w=750000u l=500000u -X4 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=500000u -X5 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=1.9875e+11p pd=2.03e+06u as=9.478e+11p ps=4.36e+06u w=750000u l=500000u -X6 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u -X7 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=3.975e+11p pd=3.53e+06u as=0p ps=0u w=1.5e+06u l=500000u -X8 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -X9 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=1.9875e+11p ps=2.03e+06u w=750000u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE VSUBS a_n138_n200# w_n338_n497# a_80_n200# -+ a_n80_n297# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM a_n80_n288# a_n138_n200# a_80_n200# w_n308_n458# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC a_n80_n288# a_n138_n200# a_80_n200# w_n308_n458# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW VSUBS m3_n3136_n3100# c1_n3036_n3000# -X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV VSUBS w_n992_n497# a_n574_n200# a_n356_n200# -+ a_n138_n200# a_80_n200# a_n80_n297# a_734_n200# a_n734_n297# a_516_n200# a_574_n297# -+ a_n516_n297# a_356_n297# a_298_n200# a_n298_n297# a_138_n297# a_n792_n200# -X0 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X1 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X2 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X3 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X4 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X5 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X6 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG VSUBS a_n138_n200# w_n338_n497# a_80_n200# -+ a_n80_n297# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__inv_8 A VGND VNB VPB VPWR Y -X0 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=1.68e+12p pd=1.424e+07u as=2.055e+12p ps=1.774e+07u w=1.5e+06u l=500000u -X1 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=8.4e+11p pd=8.24e+06u as=1.14e+12p ps=1.054e+07u w=750000u l=500000u -X2 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X3 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X4 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X5 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X6 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X7 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X9 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X10 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X11 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X12 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X13 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X14 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X15 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -.ends - -.subckt example_por vdd3v3 vdd1v8 vss porb_h por_l porb_l -Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_2_W5U4AW -Xsky130_fd_sc_hvl__buf_8_1 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 porb_l -+ sky130_fd_sc_hvl__buf_8 -Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 vss vdd3v3 m1_502_7653# vdd3v3 vdd3v3 vdd3v3 -+ m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# -+ m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ -Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 m1_721_6815# vss m1_721_6815# vss m1_721_6815# -+ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss -+ vss m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS -Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 li_2935_165# vss li_4479_165# li_4866_5813# -+ li_7182_5813# li_5251_165# li_1778_5813# li_9111_165# li_3322_5813# li_9498_5813# -+ li_7567_165# li_7954_5813# li_9883_165# li_8726_5813# li_7182_5813# li_619_165# -+ li_5638_5813# li_1006_5813# li_2163_165# li_5638_5813# li_619_165# li_6795_165# -+ li_5251_165# li_3707_165# li_9498_5813# li_2163_165# li_4479_165# li_4866_5813# -+ vss li_4094_5813# li_2550_5813# li_2550_5813# li_4094_5813# li_8339_165# li_2935_165# -+ li_7954_5813# li_9883_165# vss li_6410_5813# li_8339_165# vss li_1778_5813# li_1006_5813# -+ vss li_6410_5813# li_6023_165# li_6795_165# li_3707_165# vdd3v3 li_1391_165# li_9111_165# -+ li_8726_5813# li_7567_165# li_6023_165# vss li_1391_165# li_3322_5813# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 vss m1_2993_7658# vdd3v3 m1_721_6815# m1_185_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss vdd3v3 -+ vdd3v3 sky130_fd_sc_hvl__inv_8_0/A sky130_fd_sc_hvl__schmittbuf_1 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 vss m1_2756_6573# vdd3v3 m1_4283_8081# m1_2756_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 vss m1_6249_7690# vdd3v3 sky130_fd_sc_hvl__schmittbuf_1_0/A -+ m1_2756_6573# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 vss m1_185_6573# vdd3v3 m1_502_7653# m1_185_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 vss vdd3v3 vdd3v3 m1_6249_7690# m1_4283_8081# -+ sky130_fd_pr__pfet_g5v0d10v5_YUHPXE -Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 m1_721_6815# vss m1_2756_6573# vss sky130_fd_pr__nfet_g5v0d10v5_PKVMTM -Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 li_2550_5813# vss m1_185_6573# vss sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC -Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_1_WRT4AW -Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vss vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081# -+ vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081# -+ m1_4283_8081# m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 vss vdd3v3 vdd3v3 m1_2993_7658# m1_502_7653# -+ sky130_fd_pr__pfet_g5v0d10v5_YUHPBG -Xsky130_fd_sc_hvl__inv_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 por_l -+ sky130_fd_sc_hvl__inv_8 -Xsky130_fd_sc_hvl__buf_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd3v3 vdd3v3 porb_h -+ sky130_fd_sc_hvl__buf_8 -.ends - diff --git a/netgen/run_lvs_por.sh b/netgen/run_lvs_por.sh deleted file mode 100755 index be822b5..0000000 --- a/netgen/run_lvs_por.sh +++ /dev/null @@ -1,24 +0,0 @@ -#!/bin/sh -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#-------------------------------------------------------------------------------- -# Run LVS on the example_por layout -# -# NOTE: By specifying the testbench for the schematic-side netlist, the proper -# includes used by the testbench simulation are picked up. Otherwise, the LVS -# itself compares just the simple_por subcircuit from the testbench. -#-------------------------------------------------------------------------------- -netgen -batch lvs "example_por.spice example_por" "../xschem/example_por_tb.spice example_por" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out diff --git a/netgen/run_lvs_wrapper_verilog.sh b/netgen/run_lvs_wrapper_verilog.sh deleted file mode 100755 index 5c63236..0000000 --- a/netgen/run_lvs_wrapper_verilog.sh +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/sh -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#-------------------------------------------------------------------------------- -# Run LVS on the user_analog_project_wrapper layout, comparing against the -# top-level verilog module. -# -#-------------------------------------------------------------------------------- -netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../verilog/rtl/user_analog_project_wrapper.v user_analog_project_wrapper" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out diff --git a/netgen/run_lvs_wrapper_xschem.sh b/netgen/run_lvs_wrapper_xschem.sh deleted file mode 100755 index 2fa97c0..0000000 --- a/netgen/run_lvs_wrapper_xschem.sh +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/sh -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#-------------------------------------------------------------------------------- -# Run LVS on the user_analog_project_wrapper layout, comparing against the -# top-level xschem subcircuit from the wrapper testbench. -# -#-------------------------------------------------------------------------------- -netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../xschem/analog_wrapper_tb.spice user_analog_project_wrapper" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice deleted file mode 100644 index c3851a3..0000000 --- a/netgen/user_analog_project_wrapper.spice +++ /dev/null @@ -1,336 +0,0 @@ -* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A - -.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW c2_n3079_n3000# m4_n3179_n3100# -X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_sc_hvl__buf_8 A VGND VPWR X VNB VPB -X0 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X2 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X3 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X4 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X5 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X6 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X9 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X10 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X12 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X14 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X15 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X16 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X18 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X19 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X20 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X21 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ a_n683_n200# a_n189_n297# a_29_n297# a_189_n200# -+ a_n901_n200# a_247_n297# a_n407_n297# a_465_n297# a_407_n200# a_n625_n297# a_683_n297# -+ a_625_n200# a_n843_n297# w_n1101_n497# a_843_n200# a_n29_n200# a_n247_n200# a_n465_n200# -X0 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X2 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X4 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X6 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X7 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS a_n792_n200# a_298_n200# a_516_n200# a_734_n200# -+ w_n962_n458# a_138_n288# a_n298_n288# a_80_n200# a_356_n288# a_n516_n288# a_574_n288# -+ a_n734_n288# a_n138_n200# a_n356_n200# a_n574_n200# a_n80_n288# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X1 a_n574_n200# a_n734_n288# a_n792_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X2 a_734_n200# a_574_n288# a_516_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X3 a_298_n200# a_138_n288# a_80_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X4 a_n138_n200# a_n298_n288# a_n356_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_n356_n200# a_n516_n288# a_n574_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X6 a_516_n200# a_356_n288# a_298_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 a_n1806_2500# a_n4122_n2932# a_n5280_2500# -+ a_2054_n2932# a_896_n2932# a_4756_2500# a_3598_n2932# a_3212_2500# a_n3736_n2932# -+ a_1668_n2932# a_n1806_n2932# a_5142_n2932# a_896_2500# a_510_n2932# a_n3350_2500# -+ a_n4508_2500# a_3212_n2932# a_n4894_2500# a_1282_2500# w_n5446_n3098# a_4756_n2932# -+ a_2826_2500# a_2826_n2932# a_n2192_n2932# a_n1034_2500# a_n2578_2500# a_n1420_2500# -+ a_n2964_2500# a_n648_n2932# a_n648_2500# a_n5280_n2932# a_n3350_n2932# a_4370_2500# -+ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_n4894_n2932# a_124_2500# a_n2964_n2932# -+ a_n4122_2500# a_2054_2500# a_510_2500# a_n4508_n2932# a_4370_n2932# a_3598_2500# -+ a_3984_2500# a_2440_n2932# a_2440_2500# a_3984_n2932# a_n2192_2500# a_n3736_2500# -+ a_1668_2500# a_n262_n2932# a_n262_2500# a_n1034_n2932# a_5142_2500# a_n2578_n2932# -X0 a_n2578_n2932# a_n2578_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X1 a_n1420_n2932# a_n1420_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X2 a_n1806_n2932# a_n1806_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X3 a_3212_n2932# a_3212_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X4 a_3598_n2932# a_3598_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X5 a_n2964_n2932# a_n2964_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X6 a_2826_n2932# a_2826_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X7 a_4370_n2932# a_4370_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X8 a_3984_n2932# a_3984_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X9 a_n262_n2932# a_n262_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X10 a_n3350_n2932# a_n3350_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X11 a_n4122_n2932# a_n4122_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X12 a_n3736_n2932# a_n3736_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X13 a_5142_n2932# a_5142_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X14 a_n4894_n2932# a_n4894_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X15 a_1282_n2932# a_1282_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X16 a_4756_n2932# a_4756_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X17 a_124_n2932# a_124_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X18 a_510_n2932# a_510_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X19 a_896_n2932# a_896_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X20 a_n648_n2932# a_n648_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X21 a_n5280_n2932# a_n5280_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X22 a_n4508_n2932# a_n4508_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X23 a_n1034_n2932# a_n1034_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X24 a_n2192_n2932# a_n2192_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X25 a_2054_n2932# a_2054_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X26 a_1668_n2932# a_1668_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X27 a_2440_n2932# a_2440_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VPWR X VNB VPB -X0 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X1 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -X2 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X3 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -X4 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u -X5 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u -X6 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X7 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X9 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM w_n308_n458# a_80_n200# a_n138_n200# a_n80_n288# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC w_n308_n458# a_80_n200# a_n138_n200# a_n80_n288# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW c1_n3036_n3000# m3_n3136_n3100# -X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV a_n792_n200# a_138_n297# a_n298_n297# -+ a_298_n200# a_356_n297# a_n516_n297# a_574_n297# a_516_n200# a_n734_n297# a_734_n200# -+ a_n80_n297# a_80_n200# a_n138_n200# a_n356_n200# a_n574_n200# w_n992_n497# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X1 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X2 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X3 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X4 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X6 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__inv_8 A VGND VPWR Y VNB VPB -X0 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X1 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X2 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X3 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X4 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X5 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X6 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X7 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X9 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X10 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X11 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X12 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X13 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X14 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X15 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -.ends - -.subckt example_por vdd3v3 vss porb_h por_l porb_l vdd1v8 -Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_2_W5U4AW -Xsky130_fd_sc_hvl__buf_8_1 sky130_fd_sc_hvl__inv_8_0/A vss vdd1v8 porb_l vss vdd1v8 -+ sky130_fd_sc_hvl__buf_8 -Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# -+ vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# -+ m1_502_7653# vdd3v3 vdd3v3 vdd3v3 m1_502_7653# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ -Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 m1_721_6815# vss m1_721_6815# vss vss m1_721_6815# -+ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss -+ m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS -Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 li_3322_5813# li_1391_165# vss li_7567_165# -+ li_6023_165# vdd3v3 li_9111_165# li_8726_5813# li_1391_165# li_6795_165# li_3707_165# -+ vss li_6410_5813# li_6023_165# li_1778_5813# li_1006_5813# li_8339_165# vss li_6410_5813# -+ vss li_9883_165# li_7954_5813# li_8339_165# li_2935_165# li_4094_5813# li_2550_5813# -+ li_4094_5813# li_2550_5813# li_4479_165# li_4866_5813# vss li_2163_165# li_9498_5813# -+ li_6795_165# li_5251_165# li_3707_165# li_619_165# li_5638_5813# li_2163_165# li_1006_5813# -+ li_7182_5813# li_5638_5813# li_619_165# li_9883_165# li_8726_5813# li_9498_5813# -+ li_7567_165# li_7954_5813# li_9111_165# li_3322_5813# li_1778_5813# li_7182_5813# -+ li_5251_165# li_4866_5813# li_4479_165# vss li_2935_165# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 m1_185_6573# m1_721_6815# vdd3v3 m1_2993_7658# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vdd3v3 sky130_fd_sc_hvl__inv_8_0/A -+ vss vdd3v3 sky130_fd_sc_hvl__schmittbuf_1 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 m1_2756_6573# m1_4283_8081# vdd3v3 m1_2756_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 m1_2756_6573# sky130_fd_sc_hvl__schmittbuf_1_0/A -+ vdd3v3 m1_6249_7690# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 m1_185_6573# m1_502_7653# vdd3v3 m1_185_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 m1_4283_8081# m1_6249_7690# vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YUHPXE -Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 vss m1_2756_6573# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_PKVMTM -Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 vss m1_185_6573# vss li_2550_5813# sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC -Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_1_WRT4AW -Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# -+ m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# -+ vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 m1_502_7653# m1_2993_7658# vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YUHPBG -Xsky130_fd_sc_hvl__inv_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vdd1v8 por_l vss vdd1v8 -+ sky130_fd_sc_hvl__inv_8 -Xsky130_fd_sc_hvl__buf_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vdd3v3 porb_h vss vdd3v3 -+ sky130_fd_sc_hvl__buf_8 -.ends - -.subckt user_analog_proj_example example_por_0/por_l example_por_1/por_l example_por_1/vdd3v3 -+ example_por_1/porb_l example_por_0/vdd3v3 example_por_1/porb_h example_por_0/porb_l -+ example_por_0/porb_h VSUBS example_por_0/vdd1v8 example_por_1/vdd1v8 -Xexample_por_0 example_por_0/vdd3v3 VSUBS example_por_0/porb_h example_por_0/por_l -+ example_por_0/porb_l example_por_0/vdd1v8 example_por -Xexample_por_1 example_por_1/vdd3v3 VSUBS example_por_1/porb_h example_por_1/por_l -+ example_por_1/porb_l example_por_1/vdd1v8 example_por -.ends - -.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11] -+ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16] -+ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5] -+ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10] -+ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16] -+ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5] -+ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10] -+ io_analog[1] io_analog[2] io_analog[3] io_analog[4] io_analog[7] io_analog[8] io_analog[9] -+ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0] -+ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] -+ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] -+ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5] -+ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12] -+ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18] -+ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23] -+ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4] -+ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10] -+ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] -+ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] -+ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] -+ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15] -+ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22] -+ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5] -+ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101] -+ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106] -+ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111] -+ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116] -+ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121] -+ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126] -+ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16] -+ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21] -+ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27] -+ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32] -+ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38] -+ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43] -+ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49] -+ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54] -+ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5] -+ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65] -+ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70] -+ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76] -+ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81] -+ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87] -+ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92] -+ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98] -+ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102] -+ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107] -+ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111] -+ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116] -+ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120] -+ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125] -+ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14] -+ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19] -+ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24] -+ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29] -+ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34] -+ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39] -+ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44] -+ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49] -+ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54] -+ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59] -+ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64] -+ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69] -+ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74] -+ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79] -+ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84] -+ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89] -+ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94] -+ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99] -+ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104] -+ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110] -+ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117] -+ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123] -+ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14] -+ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20] -+ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27] -+ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33] -+ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3] -+ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46] -+ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52] -+ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59] -+ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65] -+ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71] -+ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78] -+ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84] -+ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90] -+ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97] -+ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2] -+ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] -+ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] -+ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] -+ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] -+ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] -+ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] -+ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] -+ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] -+ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] -+ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] -+ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] -+ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] -+ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] -+ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] -+ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] -+ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] -+ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] -+ wbs_stb_i wbs_we_i -Xuser_analog_proj_example_0 io_out[16] io_out[12] vdda1 io_out[11] io_analog[4] gpio_analog[3] -+ io_out[15] gpio_analog[7] vssa1 vccd1 vccd1 user_analog_proj_example -R0 vssa1 io_clamp_low[2] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R1 io_oeb[15] vssd1 sky130_fd_pr__res_generic_m3 w=560000u l=600000u -R2 io_analog[4] io_clamp_high[0] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R3 vssd1 io_oeb[11] sky130_fd_pr__res_generic_m3 w=560000u l=580000u -R4 vssa1 io_clamp_low[1] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R5 io_oeb[16] vssd1 sky130_fd_pr__res_generic_m3 w=560000u l=310000u -R6 vssa1 io_clamp_low[0] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R7 vssd1 io_oeb[12] sky130_fd_pr__res_generic_m3 w=560000u l=490000u -R8 vssa1 io_clamp_high[2] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R9 vssa1 io_clamp_high[1] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -.ends - diff --git a/openlane/digital_locked_loop/base.sdc b/openlane/digital_locked_loop/base.sdc new file mode 100644 index 0000000..6907174 --- /dev/null +++ b/openlane/digital_locked_loop/base.sdc @@ -0,0 +1,28 @@ +create_clock [get_pins {"ringosc.ibufp01/Y"} ] -name "dll_control_clock" -period 6.6666666666667 + +set_propagated_clock [get_clocks {dll_control_clock}] + +set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_inputs] +set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] + +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINTY) [get_clocks {dll_control_clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {dll_control_clock}] diff --git a/openlane/digital_locked_loop/config.tcl b/openlane/digital_locked_loop/config.tcl new file mode 100644 index 0000000..0b0f1ff --- /dev/null +++ b/openlane/digital_locked_loop/config.tcl @@ -0,0 +1,72 @@ +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 +set ::env(DESIGN_NAME) digital_locked_loop +set ::env(DESIGN_IS_CORE) 0 + +set ::env(VERILOG_FILES) "\ + $::env(DESIGN_DIR)/../../verilog/rtl/digital_locked_loop.v" + +set ::env(CLOCK_PORT) "" +set ::env(RUN_CTS) 0 + +# Synthesis +set ::env(SYNTH_READ_BLACKBOX_LIB) 1 +set ::env(SYNTH_MAX_FANOUT) 7 +set ::env(SYNTH_BUFFERING) 0 +set ::env(SYNTH_SIZING) 0 +set ::env(QUIT_ON_SYNTH_CHECKS) 0 + +set ::env(BASE_SDC_FILE) $::env(DESIGN_DIR)/base.sdc +set ::env(RCX_SDC_FILE) $::env(DESIGN_DIR)/rcx.sdc + +set ::env(NO_SYNTH_CELL_LIST) $::env(DESIGN_DIR)/no_synth.list + +## Floorplan +set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg + +set ::env(FP_SIZING) absolute +set ::env(DIE_AREA) "0 0 100 75" + +set ::env(TOP_MARGIN_MULT) 2 +set ::env(BOTTOM_MARGIN_MULT) 2 + +set ::env(DIODE_PADDING) 0 +set ::env(DPL_CELL_PADDING) 2 +set ::env(DRT_CELL_PADDING) 4 + +## PDN +set ::env(FP_PDN_VPITCH) 40 +set ::env(FP_PDN_HPITCH) 40 +set ::env(FP_PDN_HOFFSET) 16.41 +set ::env(FP_PDN_HSPACING) 18.4 +set ::env(FP_PDN_VSPACING) 18.4 + +## Placement +set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0 +set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0 +set ::env(PL_TARGET_DENSITY) 0.68 + +## Routing +set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0 +set ::env(GRT_ADJUSTMENT) 0 + +## Diode Insertion +set ::env(GRT_REPAIR_ANTENNAS) 1 +set ::env(RUN_HEURISTIC_DIODE_INSERTION) 1 +set ::env(HEURISTIC_ANTENNA_THRESHOLD) 80 + +set ::env(STA_WRITE_LIB) 0 +set ::env(FP_PDN_SKIPTRIM) 1 + diff --git a/openlane/digital_locked_loop/no_synth.list b/openlane/digital_locked_loop/no_synth.list new file mode 100644 index 0000000..80dcaf2 --- /dev/null +++ b/openlane/digital_locked_loop/no_synth.list @@ -0,0 +1,245 @@ +sky130_fd_sc_hd__clkbuf_1 +sky130_fd_sc_hd__clkbuf_16 +sky130_fd_sc_hd__clkbuf_2 +sky130_fd_sc_hd__clkbuf_4 +sky130_fd_sc_hd__clkbuf_8 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s15_2 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__clkdlybuf4s18_2 +sky130_fd_sc_hd__clkdlybuf4s25_1 +sky130_fd_sc_hd__clkdlybuf4s25_2 +sky130_fd_sc_hd__clkdlybuf4s50_1 +sky130_fd_sc_hd__clkdlybuf4s50_2 +sky130_fd_sc_hd__clkinv_1 +sky130_fd_sc_hd__clkinv_16 +sky130_fd_sc_hd__clkinv_2 +sky130_fd_sc_hd__clkinv_4 +sky130_fd_sc_hd__clkinv_8 +sky130_fd_sc_hd__clkinvlp_2 +sky130_fd_sc_hd__clkinvlp_4 +sky130_fd_sc_hd__decap_12 +sky130_fd_sc_hd__decap_3 +sky130_fd_sc_hd__decap_4 +sky130_fd_sc_hd__decap_6 +sky130_fd_sc_hd__decap_8 +sky130_fd_sc_hd__diode_2 +sky130_fd_sc_hd__dlclkp_1 +sky130_fd_sc_hd__dlclkp_2 +sky130_fd_sc_hd__dlclkp_4 +sky130_fd_sc_hd__dlrbn_1 +sky130_fd_sc_hd__dlrbn_2 +sky130_fd_sc_hd__dlrbp_1 +sky130_fd_sc_hd__dlrbp_2 +sky130_fd_sc_hd__dlrtn_1 +sky130_fd_sc_hd__dlrtn_2 +sky130_fd_sc_hd__dlrtn_4 +sky130_fd_sc_hd__dlrtp_1 +sky130_fd_sc_hd__dlrtp_2 +sky130_fd_sc_hd__dlrtp_4 +sky130_fd_sc_hd__dlxbn_1 +sky130_fd_sc_hd__dlxbn_2 +sky130_fd_sc_hd__dlxbp_1 +sky130_fd_sc_hd__dlygate4sd1_1 +sky130_fd_sc_hd__dlygate4sd2_1 +sky130_fd_sc_hd__dlygate4sd3_1 +sky130_fd_sc_hd__dlymetal6s2s_1 +sky130_fd_sc_hd__dlymetal6s4s_1 +sky130_fd_sc_hd__dlymetal6s6s_1 +sky130_fd_sc_hd__edfxbp_1 +sky130_fd_sc_hd__edfxtp_1 +sky130_fd_sc_hd__einvn_0 +sky130_fd_sc_hd__einvn_1 +sky130_fd_sc_hd__einvn_2 +sky130_fd_sc_hd__einvn_4 +sky130_fd_sc_hd__einvn_8 +sky130_fd_sc_hd__einvp_1 +sky130_fd_sc_hd__einvp_2 +sky130_fd_sc_hd__einvp_4 +sky130_fd_sc_hd__einvp_8 +sky130_fd_sc_hd__fah_1 +sky130_fd_sc_hd__fahcin_1 +sky130_fd_sc_hd__fahcon_1 +sky130_fd_sc_hd__ha_1 +sky130_fd_sc_hd__ha_2 +sky130_fd_sc_hd__ha_4 +sky130_fd_sc_hd__macro_sparecell +sky130_fd_sc_hd__maj3_1 +sky130_fd_sc_hd__maj3_2 +sky130_fd_sc_hd__maj3_4 +sky130_fd_sc_hd__mux2i_1 +sky130_fd_sc_hd__mux2i_2 +sky130_fd_sc_hd__mux2i_4 +sky130_fd_sc_hd__sdfbbn_1 +sky130_fd_sc_hd__sdfbbn_2 +sky130_fd_sc_hd__sdfbbp_1 +sky130_fd_sc_hd__sdfrbp_1 +sky130_fd_sc_hd__sdfrbp_2 +sky130_fd_sc_hd__sdfrtn_1 +sky130_fd_sc_hd__sdfrtp_1 +sky130_fd_sc_hd__sdfrtp_2 +sky130_fd_sc_hd__sdfrtp_4 +sky130_fd_sc_hd__sdfsbp_1 +sky130_fd_sc_hd__sdfsbp_2 +sky130_fd_sc_hd__sdfstp_1 +sky130_fd_sc_hd__sdfstp_2 +sky130_fd_sc_hd__sdfstp_4 +sky130_fd_sc_hd__sdfxbp_1 +sky130_fd_sc_hd__sdfxbp_2 +sky130_fd_sc_hd__sdfxtp_1 +sky130_fd_sc_hd__sdfxtp_2 +sky130_fd_sc_hd__sdfxtp_4 +sky130_fd_sc_hd__sdlclkp_1 +sky130_fd_sc_hd__sdlclkp_2 +sky130_fd_sc_hd__sdlclkp_4 +sky130_fd_sc_hd__sedfxbp_1 +sky130_fd_sc_hd__sedfxbp_2 +sky130_fd_sc_hd__sedfxtp_1 +sky130_fd_sc_hd__sedfxtp_2 +sky130_fd_sc_hd__sedfxtp_4 +sky130_fd_sc_hd__a2111oi_1 +sky130_fd_sc_hd__a211o_1 +sky130_fd_sc_hd__a211oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a31o_1 +sky130_fd_sc_hd__a31oi_1 +sky130_fd_sc_hd__a32o_1 +sky130_fd_sc_hd__a32oi_1 +sky130_fd_sc_hd__a41o_1 +sky130_fd_sc_hd__a41oi_1 +sky130_fd_sc_hd__and2_1 +sky130_fd_sc_hd__and2b_1 +sky130_fd_sc_hd__and3_1 +sky130_fd_sc_hd__and3b_1 +sky130_fd_sc_hd__and4_1 +sky130_fd_sc_hd__and4b_1 +sky130_fd_sc_hd__and4bb_1 +sky130_fd_sc_hd__dfbbn_1 +sky130_fd_sc_hd__dfbbp_1 +sky130_fd_sc_hd__dfrbp_1 +sky130_fd_sc_hd__dfrtn_1 +sky130_fd_sc_hd__dfrtp_1 +sky130_fd_sc_hd__dfsbp_1 +sky130_fd_sc_hd__dfstp_1 +sky130_fd_sc_hd__dfxbp_1 +sky130_fd_sc_hd__dfxtp_1 +sky130_fd_sc_hd__ebufn_1 +sky130_fd_sc_hd__inv_1 +sky130_fd_sc_hd__nand2_1 +sky130_fd_sc_hd__nand2b_1 +sky130_fd_sc_hd__nand3_1 +sky130_fd_sc_hd__nand3b_1 +sky130_fd_sc_hd__nand4_1 +sky130_fd_sc_hd__nand4b_1 +sky130_fd_sc_hd__nand4bb_1 +sky130_fd_sc_hd__nor2_1 +sky130_fd_sc_hd__nor2b_1 +sky130_fd_sc_hd__nor3_1 +sky130_fd_sc_hd__nor3b_1 +sky130_fd_sc_hd__nor4_1 +sky130_fd_sc_hd__nor4b_1 +sky130_fd_sc_hd__nor4bb_1 +sky130_fd_sc_hd__o2111a_1 +sky130_fd_sc_hd__o2111ai_1 +sky130_fd_sc_hd__o211a_1 +sky130_fd_sc_hd__o211ai_1 +sky130_fd_sc_hd__o21a_1 +sky130_fd_sc_hd__o21ai_1 +sky130_fd_sc_hd__o21ba_1 +sky130_fd_sc_hd__o21bai_1 +sky130_fd_sc_hd__o221a_1 +sky130_fd_sc_hd__o221ai_1 +sky130_fd_sc_hd__o22a_1 +sky130_fd_sc_hd__o22ai_1 +sky130_fd_sc_hd__o2bb2a_1 +sky130_fd_sc_hd__o2bb2ai_1 +sky130_fd_sc_hd__o311a_1 +sky130_fd_sc_hd__o311ai_1 +sky130_fd_sc_hd__o31a_1 +sky130_fd_sc_hd__o31ai_1 +sky130_fd_sc_hd__o32a_1 +sky130_fd_sc_hd__o32ai_1 +sky130_fd_sc_hd__o41a_1 +sky130_fd_sc_hd__o41ai_1 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__xnor2_1 +sky130_fd_sc_hd__xor2_1 + +sky130_fd_sc_hd__buf_1 +sky130_fd_sc_hd__bufbuf_1 +sky130_fd_sc_hd__bufinv_1 +sky130_fd_sc_hd__clkbuf_1 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__clkdlybuf4s25_1 +sky130_fd_sc_hd__clkdlybuf4s50_1 +sky130_fd_sc_hd__clkinv_1 +sky130_fd_sc_hd__dlygate4sd1_1 +sky130_fd_sc_hd__dlygate4sd2_1 +sky130_fd_sc_hd__dlygate4sd3_1 +sky130_fd_sc_hd__dlymetal6s2s_1 +sky130_fd_sc_hd__dlymetal6s4s_1 +sky130_fd_sc_hd__dlymetal6s6s_1 + +sky130_fd_sc_hd__or2 +sky130_fd_sc_hd__or2_0 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2_2 +sky130_fd_sc_hd__or2_4 +sky130_fd_sc_hd__or2b +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or2b_2 +sky130_fd_sc_hd__or2b_4 +sky130_fd_sc_hd__or3 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3_2 +sky130_fd_sc_hd__or3_4 +sky130_fd_sc_hd__or3b +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or3b_2 +sky130_fd_sc_hd__or3b_4 +sky130_fd_sc_hd__or4 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4_2 +sky130_fd_sc_hd__or4_4 +sky130_fd_sc_hd__or4b +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4b_2 +sky130_fd_sc_hd__or4b_4 +sky130_fd_sc_hd__or4bb +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__or4bb_2 +sky130_fd_sc_hd__or4bb_4 diff --git a/openlane/digital_locked_loop/pin_order.cfg b/openlane/digital_locked_loop/pin_order.cfg new file mode 100644 index 0000000..b985880 --- /dev/null +++ b/openlane/digital_locked_loop/pin_order.cfg @@ -0,0 +1,23 @@ +#N +ext_trim\[[7-9]\] +ext_trim\[1[0-9]\] + +#E +ext_trim\[25\] +ext_trim\[24\] +ext_trim\[23\] +ext_trim\[22\] +ext_trim\[21\] +ext_trim\[20\] + +#W +clockp.* +div.* +enable +dco.* +ext_trim\[[0-6]\] + +#S +resetb +osc + diff --git a/openlane/digital_locked_loop/rcx.sdc b/openlane/digital_locked_loop/rcx.sdc new file mode 100644 index 0000000..7d80e41 --- /dev/null +++ b/openlane/digital_locked_loop/rcx.sdc @@ -0,0 +1,28 @@ +create_clock [get_pins {"ringosc.ibufp01/Y"} ] -name "dll_control_clock" -period 6.6666666666667 + +set_propagated_clock [get_clocks {dll_control_clock}] + +set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_max_fanout 15 [current_design] +set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_inputs] +set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] + +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" +set_clock_uncertainty 0.1 [get_clocks {dll_control_clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {dll_control_clock}] diff --git a/openlane/openframe_project_wrapper/base.sdc b/openlane/openframe_project_wrapper/base.sdc new file mode 100644 index 0000000..701044d --- /dev/null +++ b/openlane/openframe_project_wrapper/base.sdc @@ -0,0 +1,55 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}] + +set_clock_uncertainty 0.8 [all_clocks] +set_propagated_clock [all_clocks] + +## INPUT/OUTPUT DELAYS +set input_delay_value 0 +set output_delay_value 22 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb_l}] +set_false_path -from [get_ports {porb_l}] + +# add loads for output ports (pads) +set min_cap 0.5 +set max_cap 1.0 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.15 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans 0.5 [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc b/openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc deleted file mode 100644 index eb2c153..0000000 --- a/openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc +++ /dev/null @@ -1,517 +0,0 @@ -# generated by get_cup_sdc.py -# Date: 2023/03/02 -### Note: - input clock transition and latency are set based on the gpio_in[38] port. -### If your design is using the user_clock2, update the constraints to use usr_* variables. -### - input delays for wbs_adr_i[0] and wbs_adr_i[1] are assumed to be 0 as they're not reported (constants) -### - IO ports are assumed to be asynchronous. If they're synchronous to the clock, update the variable IO_SYNC to 1. -### As well, update in_ext_delay and out_ext_delay with the required I/O external delays. - -#------------------------------------------# -# Pre-defined Constraints -#------------------------------------------# - -# Clock network -if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} { - set clk_input $::env(CLOCK_PORT) - create_clock [get_ports $clk_input] -name clk -period $::env(CLOCK_PERIOD) - puts "\[INFO\]: Creating clock {clk} for port $clk_input with period: $::env(CLOCK_PERIOD)" -} else { - set clk_input __VIRTUAL_CLK__ - create_clock -name clk -period $::env(CLOCK_PERIOD) - puts "\[INFO\]: Creating virtual clock with period: $::env(CLOCK_PERIOD)" -} -if { ![info exists ::env(SYNTH_CLK_DRIVING_CELL)] } { - set ::env(SYNTH_CLK_DRIVING_CELL) $::env(SYNTH_DRIVING_CELL) -} -if { ![info exists ::env(SYNTH_CLK_DRIVING_CELL_PIN)] } { - set ::env(SYNTH_CLK_DRIVING_CELL_PIN) $::env(SYNTH_DRIVING_CELL_PIN) -} - -# Clock non-idealities -set_propagated_clock [get_clocks {clk}] -set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINTY) [get_clocks {clk}] -puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" -set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clk}] -puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" - -# Maximum transition time of the design nets -set_max_transition $::env(SYNTH_MAX_TRAN) [current_design] -puts "\[INFO\]: Setting maximum transition to: $::env(SYNTH_MAX_TRAN)" - -# Maximum fanout -set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] -puts "\[INFO\]: Setting maximum fanout to: $::env(SYNTH_MAX_FANOUT)" - -# Timing paths delays derate -set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] -set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] -puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 100}] %" - -#------------------------------------------# -# Retrieved Constraints -#------------------------------------------# - -# Clock source latency -set usr_clk_max_latency 4.7 -set usr_clk_min_latency 4.24 -set clk_max_latency 6 -set clk_min_latency 4.5 -set_clock_latency -source -max $clk_max_latency [get_clocks {clk}] -set_clock_latency -source -min $clk_min_latency [get_clocks {clk}] -puts "\[INFO\]: Setting clock latency range: $clk_min_latency : $clk_max_latency" - -# Clock input Transition -set usr_clk_tran 0.11 -set clk_tran 0.6 -set_input_transition $clk_tran [get_ports $clk_input] -puts "\[INFO\]: Setting clock transition: $clk_tran" - -# Input delays -if { $::env(IO_SYNC) } { - set in_ext_delay 4 - puts "\[INFO\]: Setting input ports external delay to: $in_ext_delay" - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[0]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[10]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[11]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[12]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[13]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[14]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[15]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[16]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[17]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[18]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[19]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[1]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[20]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[21]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[22]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[23]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[24]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[25]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[26]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[27]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[28]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[29]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[2]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[30]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[31]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[32]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[33]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[34]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[35]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[36]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[37]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[3]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[4]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[5]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[6]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[7]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[8]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[9]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[0]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[10]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[11]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[12]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[13]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[14]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[15]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[16]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[17]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[18]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[19]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[1]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[20]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[21]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[22]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[23]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[24]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[25]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[26]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[27]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[28]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[29]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[2]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[30]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[31]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[32]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[33]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[34]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[35]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[36]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[37]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[39]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[3]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[40]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[41]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[42]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[43]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[4]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[5]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[6]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[7]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[8]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[9]}] -} - -# Input Transition -set_input_transition -max 0.44 [get_ports {gpio_in[0]}] -set_input_transition -max 0.44 [get_ports {gpio_in[10]}] -set_input_transition -max 0.44 [get_ports {gpio_in[11]}] -set_input_transition -max 0.44 [get_ports {gpio_in[12]}] -set_input_transition -max 0.44 [get_ports {gpio_in[13]}] -set_input_transition -max 0.44 [get_ports {gpio_in[14]}] -set_input_transition -max 0.44 [get_ports {gpio_in[15]}] -set_input_transition -max 0.44 [get_ports {gpio_in[16]}] -set_input_transition -max 0.44 [get_ports {gpio_in[17]}] -set_input_transition -max 0.44 [get_ports {gpio_in[18]}] -set_input_transition -max 0.44 [get_ports {gpio_in[19]}] -set_input_transition -max 0.44 [get_ports {gpio_in[1]}] -set_input_transition -max 0.44 [get_ports {gpio_in[20]}] -set_input_transition -max 0.44 [get_ports {gpio_in[21]}] -set_input_transition -max 0.44 [get_ports {gpio_in[22]}] -set_input_transition -max 0.44 [get_ports {gpio_in[23]}] -set_input_transition -max 0.44 [get_ports {gpio_in[24]}] -set_input_transition -max 0.44 [get_ports {gpio_in[25]}] -set_input_transition -max 0.44 [get_ports {gpio_in[26]}] -set_input_transition -max 0.44 [get_ports {gpio_in[27]}] -set_input_transition -max 0.44 [get_ports {gpio_in[28]}] -set_input_transition -max 0.44 [get_ports {gpio_in[29]}] -set_input_transition -max 0.44 [get_ports {gpio_in[2]}] -set_input_transition -max 0.44 [get_ports {gpio_in[30]}] -set_input_transition -max 0.44 [get_ports {gpio_in[31]}] -set_input_transition -max 0.44 [get_ports {gpio_in[32]}] -set_input_transition -max 0.44 [get_ports {gpio_in[33]}] -set_input_transition -max 0.44 [get_ports {gpio_in[34]}] -set_input_transition -max 0.44 [get_ports {gpio_in[35]}] -set_input_transition -max 0.44 [get_ports {gpio_in[36]}] -set_input_transition -max 0.44 [get_ports {gpio_in[37]}] -set_input_transition -max 0.44 [get_ports {gpio_in[38]}] -set_input_transition -max 0.44 [get_ports {gpio_in[39]}] -set_input_transition -max 0.44 [get_ports {gpio_in[3]}] -set_input_transition -max 0.44 [get_ports {gpio_in[40]}] -set_input_transition -max 0.44 [get_ports {gpio_in[41]}] -set_input_transition -max 0.44 [get_ports {gpio_in[42]}] -set_input_transition -max 0.44 [get_ports {gpio_in[43]}] -set_input_transition -max 0.44 [get_ports {gpio_in[4]}] -set_input_transition -max 0.44 [get_ports {gpio_in[5]}] -set_input_transition -max 0.44 [get_ports {gpio_in[6]}] -set_input_transition -max 0.44 [get_ports {gpio_in[7]}] -set_input_transition -max 0.44 [get_ports {gpio_in[8]}] -set_input_transition -max 0.44 [get_ports {gpio_in[9]}] -set_input_transition -min 0.05 [get_ports {gpio_in[0]}] -set_input_transition -min 0.05 [get_ports {gpio_in[10]}] -set_input_transition -min 0.05 [get_ports {gpio_in[11]}] -set_input_transition -min 0.05 [get_ports {gpio_in[12]}] -set_input_transition -min 0.05 [get_ports {gpio_in[13]}] -set_input_transition -min 0.05 [get_ports {gpio_in[14]}] -set_input_transition -min 0.05 [get_ports {gpio_in[15]}] -set_input_transition -min 0.05 [get_ports {gpio_in[16]}] -set_input_transition -min 0.05 [get_ports {gpio_in[17]}] -set_input_transition -min 0.05 [get_ports {gpio_in[18]}] -set_input_transition -min 0.05 [get_ports {gpio_in[19]}] -set_input_transition -min 0.05 [get_ports {gpio_in[1]}] -set_input_transition -min 0.05 [get_ports {gpio_in[20]}] -set_input_transition -min 0.05 [get_ports {gpio_in[21]}] -set_input_transition -min 0.05 [get_ports {gpio_in[22]}] -set_input_transition -min 0.05 [get_ports {gpio_in[23]}] -set_input_transition -min 0.05 [get_ports {gpio_in[24]}] -set_input_transition -min 0.05 [get_ports {gpio_in[25]}] -set_input_transition -min 0.05 [get_ports {gpio_in[26]}] -set_input_transition -min 0.05 [get_ports {gpio_in[27]}] -set_input_transition -min 0.05 [get_ports {gpio_in[28]}] -set_input_transition -min 0.05 [get_ports {gpio_in[29]}] -set_input_transition -min 0.05 [get_ports {gpio_in[2]}] -set_input_transition -min 0.05 [get_ports {gpio_in[30]}] -set_input_transition -min 0.05 [get_ports {gpio_in[31]}] -set_input_transition -min 0.05 [get_ports {gpio_in[32]}] -set_input_transition -min 0.05 [get_ports {gpio_in[33]}] -set_input_transition -min 0.05 [get_ports {gpio_in[34]}] -set_input_transition -min 0.05 [get_ports {gpio_in[35]}] -set_input_transition -min 0.05 [get_ports {gpio_in[36]}] -set_input_transition -min 0.05 [get_ports {gpio_in[37]}] -set_input_transition -min 0.05 [get_ports {gpio_in[38]}] -set_input_transition -min 0.05 [get_ports {gpio_in[39]}] -set_input_transition -min 0.05 [get_ports {gpio_in[3]}] -set_input_transition -min 0.05 [get_ports {gpio_in[40]}] -set_input_transition -min 0.05 [get_ports {gpio_in[41]}] -set_input_transition -min 0.05 [get_ports {gpio_in[42]}] -set_input_transition -min 0.05 [get_ports {gpio_in[43]}] -set_input_transition -min 0.05 [get_ports {gpio_in[4]}] -set_input_transition -min 0.05 [get_ports {gpio_in[5]}] -set_input_transition -min 0.05 [get_ports {gpio_in[6]}] -set_input_transition -min 0.05 [get_ports {gpio_in[7]}] -set_input_transition -min 0.05 [get_ports {gpio_in[8]}] -set_input_transition -min 0.05 [get_ports {gpio_in[9]}] - -# Output delays -if { $::env(IO_SYNC) } { - set out_ext_delay 4 - puts "\[INFO\]: Setting output ports external delay to: $out_ext_delay" - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[0]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[10]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[11]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[12]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[13]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[14]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[15]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[16]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[17]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[18]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[19]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[1]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[20]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[21]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[22]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[23]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[24]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[25]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[26]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[27]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[28]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[29]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[2]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[30]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[31]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[32]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[33]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[34]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[35]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[36]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[37]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[38]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[39]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[3]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[40]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[41]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[42]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[43]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[4]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[5]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[6]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[7]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[8]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[9]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[0]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[10]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[11]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[12]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[13]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[14]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[15]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[16]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[17]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[18]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[19]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[1]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[20]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[21]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[22]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[23]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[24]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[25]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[26]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[27]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[28]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[29]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[2]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[30]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[31]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[32]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[33]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[34]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[35]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[36]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[37]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[38]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[39]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[3]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[40]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[41]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[42]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[43]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[4]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[5]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[6]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[7]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[8]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[9]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[0]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[10]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[11]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[12]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[13]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[14]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[15]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[16]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[17]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[18]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[19]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[1]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[20]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[21]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[22]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[23]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[24]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[25]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[26]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[27]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[28]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[29]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[2]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[30]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[31]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[32]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[33]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[34]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[35]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[36]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[37]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[38]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[39]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[3]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[40]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[41]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[42]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[43]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[4]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[5]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[6]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[7]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[8]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[9]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[0]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[10]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[11]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[12]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[13]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[14]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[15]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[16]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[17]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[18]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[19]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[1]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[20]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[21]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[22]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[23]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[24]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[25]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[26]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[27]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[28]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[29]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[2]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[30]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[31]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[32]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[33]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[34]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[35]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[36]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[37]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[38]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[39]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[3]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[40]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[41]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[42]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[43]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[4]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[5]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[6]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[7]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[8]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[9]}] -} - -# Output loads -set_load 0.14 [get_ports {gpio_oeb[0]}] -set_load 0.14 [get_ports {gpio_oeb[10]}] -set_load 0.14 [get_ports {gpio_oeb[11]}] -set_load 0.14 [get_ports {gpio_oeb[12]}] -set_load 0.14 [get_ports {gpio_oeb[13]}] -set_load 0.14 [get_ports {gpio_oeb[14]}] -set_load 0.14 [get_ports {gpio_oeb[15]}] -set_load 0.14 [get_ports {gpio_oeb[16]}] -set_load 0.14 [get_ports {gpio_oeb[17]}] -set_load 0.14 [get_ports {gpio_oeb[18]}] -set_load 0.14 [get_ports {gpio_oeb[19]}] -set_load 0.14 [get_ports {gpio_oeb[1]}] -set_load 0.14 [get_ports {gpio_oeb[20]}] -set_load 0.14 [get_ports {gpio_oeb[21]}] -set_load 0.14 [get_ports {gpio_oeb[22]}] -set_load 0.14 [get_ports {gpio_oeb[23]}] -set_load 0.14 [get_ports {gpio_oeb[24]}] -set_load 0.14 [get_ports {gpio_oeb[25]}] -set_load 0.14 [get_ports {gpio_oeb[26]}] -set_load 0.14 [get_ports {gpio_oeb[27]}] -set_load 0.14 [get_ports {gpio_oeb[28]}] -set_load 0.14 [get_ports {gpio_oeb[29]}] -set_load 0.14 [get_ports {gpio_oeb[2]}] -set_load 0.14 [get_ports {gpio_oeb[30]}] -set_load 0.14 [get_ports {gpio_oeb[31]}] -set_load 0.14 [get_ports {gpio_oeb[32]}] -set_load 0.14 [get_ports {gpio_oeb[33]}] -set_load 0.14 [get_ports {gpio_oeb[34]}] -set_load 0.14 [get_ports {gpio_oeb[35]}] -set_load 0.14 [get_ports {gpio_oeb[36]}] -set_load 0.14 [get_ports {gpio_oeb[37]}] -set_load 0.14 [get_ports {gpio_oeb[38]}] -set_load 0.14 [get_ports {gpio_oeb[39]}] -set_load 0.14 [get_ports {gpio_oeb[3]}] -set_load 0.14 [get_ports {gpio_oeb[40]}] -set_load 0.14 [get_ports {gpio_oeb[41]}] -set_load 0.14 [get_ports {gpio_oeb[42]}] -set_load 0.14 [get_ports {gpio_oeb[43]}] -set_load 0.14 [get_ports {gpio_oeb[4]}] -set_load 0.14 [get_ports {gpio_oeb[5]}] -set_load 0.14 [get_ports {gpio_oeb[6]}] -set_load 0.14 [get_ports {gpio_oeb[7]}] -set_load 0.14 [get_ports {gpio_oeb[8]}] -set_load 0.14 [get_ports {gpio_oeb[9]}] -set_load 0.14 [get_ports {gpio_out[0]}] -set_load 0.14 [get_ports {gpio_out[10]}] -set_load 0.14 [get_ports {gpio_out[11]}] -set_load 0.14 [get_ports {gpio_out[12]}] -set_load 0.14 [get_ports {gpio_out[13]}] -set_load 0.14 [get_ports {gpio_out[14]}] -set_load 0.14 [get_ports {gpio_out[15]}] -set_load 0.14 [get_ports {gpio_out[16]}] -set_load 0.14 [get_ports {gpio_out[17]}] -set_load 0.14 [get_ports {gpio_out[18]}] -set_load 0.14 [get_ports {gpio_out[19]}] -set_load 0.14 [get_ports {gpio_out[1]}] -set_load 0.14 [get_ports {gpio_out[20]}] -set_load 0.14 [get_ports {gpio_out[21]}] -set_load 0.14 [get_ports {gpio_out[22]}] -set_load 0.14 [get_ports {gpio_out[23]}] -set_load 0.14 [get_ports {gpio_out[24]}] -set_load 0.14 [get_ports {gpio_out[25]}] -set_load 0.14 [get_ports {gpio_out[26]}] -set_load 0.14 [get_ports {gpio_out[27]}] -set_load 0.14 [get_ports {gpio_out[28]}] -set_load 0.14 [get_ports {gpio_out[29]}] -set_load 0.14 [get_ports {gpio_out[2]}] -set_load 0.14 [get_ports {gpio_out[30]}] -set_load 0.14 [get_ports {gpio_out[31]}] -set_load 0.14 [get_ports {gpio_out[32]}] -set_load 0.14 [get_ports {gpio_out[33]}] -set_load 0.14 [get_ports {gpio_out[34]}] -set_load 0.14 [get_ports {gpio_out[35]}] -set_load 0.14 [get_ports {gpio_out[36]}] -set_load 0.14 [get_ports {gpio_out[37]}] -set_load 0.14 [get_ports {gpio_out[38]}] -set_load 0.14 [get_ports {gpio_out[39]}] -set_load 0.14 [get_ports {gpio_out[3]}] -set_load 0.14 [get_ports {gpio_out[40]}] -set_load 0.14 [get_ports {gpio_out[41]}] -set_load 0.14 [get_ports {gpio_out[42]}] -set_load 0.14 [get_ports {gpio_out[43]}] -set_load 0.14 [get_ports {gpio_out[4]}] -set_load 0.14 [get_ports {gpio_out[5]}] -set_load 0.14 [get_ports {gpio_out[6]}] -set_load 0.14 [get_ports {gpio_out[7]}] -set_load 0.14 [get_ports {gpio_out[8]}] -set_load 0.14 [get_ports {gpio_out[9]}] diff --git a/openlane/openframe_project_wrapper/config.json b/openlane/openframe_project_wrapper/config.json index 424c79b..8e87cc9 100644 --- a/openlane/openframe_project_wrapper/config.json +++ b/openlane/openframe_project_wrapper/config.json @@ -1,91 +1,93 @@ { "DESIGN_NAME": "openframe_project_wrapper", "VERILOG_FILES": [ - "dir::../../verilog/rtl/openframe_project_netlists.v" + "dir::../../verilog/rtl/openframe_project_netlists.v", + "dir::../../verilog/rtl/openframe_project_wrapper.v" ], - "RUN_VERILATOR": 0, + "SYNTH_DEFINES": "PnR", + "RUN_LINTER": 0, "SYNTH_READ_BLACKBOX_LIB": 1, - "ROUTING_CORES": 1, + "ROUTING_CORES": 10, "CLOCK_PERIOD": 25, "CLOCK_PORT": "gpio_in[38]", - "CLOCK_NET": "openframe_example.gpio_in[38]", - "FP_PDN_MACRO_HOOKS": "openframe_example vccd1 vssd1 vccd1 vssd1", "MACRO_PLACEMENT_CFG": "dir::macro.cfg", "MAGIC_DEF_LABELS": 0, "VERILOG_FILES_BLACKBOX": [ - "/usr/share/pdk/sky130A/libs.ref/sky130_sram_macros/verilog/sky130_sram_2kbyte_1rw1r_32x512_8.v" + "dir::../picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v", + "dir::../../verilog/gl/picosoc.v", + "dir::../../verilog/gl/vccd1_connection.v", + "dir::../../verilog/gl/vssd1_connection.v", + "dir::../../verilog/gl/digital_locked_loop.v" ], - "EXTRA_LEFS": "/usr/share/pdk/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef", - "EXTRA_GDS_FILES": "/usr/share/pdk/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds", - "BASE_SDC_FILE": "dir::base_openframe_project_wrapper.sdc", - "IO_SYNC": 0, + "EXTRA_LEFS": [ + "dir::../../lef/picosoc.lef", + "dir::../../lef/vccd1_connection.lef", + "dir::../../lef/vssd1_connection.lef" + ], + "EXTRA_GDS_FILES": [ + "dir::../../gds/picosoc.gds", + "dir::../../gds/vccd1_connection.gds", + "dir::../../gds/vssd1_connection.gds" + ], + "EXTRA_LIBS": ["dir::../../lib/picosoc.lib"], + "EXTRA_SPEFS": [ + "picosoc", + "dir::../../signoff/picosoc/openlane-signoff/spef/picosoc.min.spef", + "dir::../../signoff/picosoc/openlane-signoff/spef/picosoc.nom.spef", + "dir::../../signoff/picosoc/openlane-signoff/spef/picosoc.max.spef", + "digital_locked_loop", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.min.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.nom.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.max.spef" + ], + "BASE_SDC_FILE": "dir::base.sdc", + "RCX_SDC_FILE": "dir::signoff.sdc", + "MAX_TRANSITION_CONSTRAINT": 0.5, + "QUIT_ON_SYNTH_CHECKS": 0, "FP_PDN_CHECK_NODES": 0, - "SYNTH_ELABORATE_ONLY": 0, "SYNTH_USE_PG_PINS_DEFINES": "USE_POWER_PINS", - "PL_RANDOM_GLB_PLACEMENT": 1, - "PL_RESIZER_DESIGN_OPTIMIZATIONS": 0, - "PL_RESIZER_TIMING_OPTIMIZATIONS": 0, - "PL_RESIZER_BUFFER_INPUT_PORTS": 0, - "FP_PDN_ENABLE_RAILS": 0, - "DIODE_INSERTION_STRATEGY": 0, - "RUN_FILL_INSERTION": 0, - "RUN_TAP_DECAP_INSERTION": 0, - "FP_PDN_VPITCH": 180, - "FP_PDN_HPITCH": 180, - "FP_PDN_VOFFSET": 5, - "FP_PDN_HOFFSET": 5, + "PL_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_MAX_WIRE_LENGTH": 500, + "GLB_RESIZER_MAX_WIRE_LENGTH": 500, + "CTS_CLK_MAX_WIRE_LENGTH": 500, + "RUN_CTS": 1, + "FP_PDN_ENABLE_RAILS": 1, + "GRT_REPAIR_ANTENNAS": 1, + "RUN_HEURISTIC_DIODE_INSERTION": 1, + "FP_PDN_VPITCH": 40, + "FP_PDN_HPITCH": 40, + "FP_PDN_VOFFSET": 18.43, + "FP_PDN_HOFFSET": 22.83, "MAGIC_ZEROIZE_ORIGIN": 0, "FP_SIZING": "absolute", "RUN_CVC": 0, - "UNIT": 2.4, - "FP_IO_VEXTEND": "expr::2 * $UNIT", - "FP_IO_HEXTEND": "expr::2 * $UNIT", - "FP_IO_VLENGTH": "expr::$UNIT", - "FP_IO_HLENGTH": "expr::$UNIT", - "FP_IO_VTHICKNESS_MULT": 4, - "FP_IO_HTHICKNESS_MULT": 4, "FP_PDN_CORE_RING": 1, - "FP_PDN_CORE_RING_VWIDTH": 3.1, - "FP_PDN_CORE_RING_HWIDTH": 3.1, - "FP_PDN_CORE_RING_VOFFSET": 12.45, - "FP_PDN_CORE_RING_HOFFSET": 12.45, - "FP_PDN_CORE_RING_VSPACING": 1.7, - "FP_PDN_CORE_RING_HSPACING": 1.7, - "FP_PDN_VWIDTH": 3.1, - "FP_PDN_HWIDTH": 3.1, - "FP_PDN_VSPACING": "expr::(5 * $FP_PDN_CORE_RING_VWIDTH)", - "FP_PDN_HSPACING": "expr::(5 * $FP_PDN_CORE_RING_HWIDTH)", + "FP_PDN_CORE_RING_VWIDTH": 20, + "FP_PDN_CORE_RING_HWIDTH": 20, + "FP_PDN_CORE_RING_VOFFSET": -4, + "FP_PDN_CORE_RING_HOFFSET": -4, + "FP_PDN_CORE_RING_VSPACING": 2.4, + "FP_PDN_CORE_RING_HSPACING": 2.4, + "FP_PDN_VWIDTH": 6.4, + "FP_PDN_HWIDTH": 6.4, + "FP_PDN_HSPACING": 3.2, + "FP_PDN_VSPACING": 3.2, "VDD_NETS": [ - "vccd1", - "vccd2", - "vdda1", - "vdda2" + "vccd1" ], "GND_NETS": [ - "vssd1", - "vssd2", - "vssa1", - "vssa2" + "vssd1" ], - "pdk::sky130*": { - "RT_MAX_LAYER": "met4", - "DIE_AREA": "0 0 3168.82 4768.82", - "FP_DEF_TEMPLATE": "dir::fixed_dont_change/openframe_project_wrapper.def", - "scl::sky130_fd_sc_hd": { - "CLOCK_PERIOD": 25 - }, - "scl::sky130_fd_sc_hdll": { - "CLOCK_PERIOD": 10 - }, - "scl::sky130_fd_sc_hs": { - "CLOCK_PERIOD": 8 - }, - "scl::sky130_fd_sc_ls": { - "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5 - }, - "scl::sky130_fd_sc_ms": { - "CLOCK_PERIOD": 10 - } - } + "PL_TARGET_DENSITY": 0.1, + "DIE_AREA": "0 0 3166.63 4766.630", + "CORE_AREA": "40 40 3126.63 4726.630", + "RUN_IRDROP_REPORT": 0, + "FP_PDN_MACRO_HOOKS": "openframe_example vccd1 vssd1 VPWR VGND", + "FP_PDN_CFG": "dir::pdn_cfg.tcl", + "FP_DEF_TEMPLATE": "dir::fixed_dont_change/openframe_project_wrapper.def", + "RUN_MAGIC_DRC": 0, + "RUN_LVS": 0 } diff --git a/openlane/openframe_project_wrapper/fixed_dont_change/pins.def b/openlane/openframe_project_wrapper/fixed_dont_change/pins.def deleted file mode 100644 index 2bbde6f..0000000 --- a/openlane/openframe_project_wrapper/fixed_dont_change/pins.def +++ /dev/null @@ -1,2784 +0,0 @@ -VERSION 5.7 ; - NAMESCASESENSITIVE ON ; - DIVIDERCHAR "/" ; - BUSBITCHARS "()" ; - DESIGN openframe_project_wrapper ; - TECHNOLOGY sky130A ; - UNITS DISTANCE MICRONS 1000 ; - DIEAREA ( 0 0 ) ( 3166630 4766630 ) ; - -VIAS 0 ; -END VIAS - -COMPONENTS 0 ; -END COMPONENTS - -PINS 918 ; - - gpio_vtrip_sel[43] + NET gpio_vtrip_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2429490 -920 ) N ; - - gpio_analog_en[15] + NET gpio_analog_en[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2970160 4767550 ) N ; - - gpio_analog_pol[15] + NET gpio_analog_pol[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2963720 4767550 ) N ; - - gpio_analog_sel[15] + NET gpio_analog_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2948540 4767550 ) N ; - - gpio_dm0[15] + NET gpio_dm0[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2966940 4767550 ) N ; - - gpio_dm1[15] + NET gpio_dm1[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2976140 4767550 ) N ; - - gpio_dm2[15] + NET gpio_dm2[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2945320 4767550 ) N ; - - gpio_holdover[15] + NET gpio_holdover[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2942100 4767550 ) N ; - - gpio_ib_mode_sel[15] + NET gpio_ib_mode_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2926920 4767550 ) N ; - - gpio_inp_dis[15] + NET gpio_inp_dis[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2960960 4767550 ) N ; - - gpio_oeb[15] + NET gpio_oeb[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2923700 4767550 ) N ; - - gpio_out[15] + NET gpio_out[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2939340 4767550 ) N ; - - gpio_slow_sel[15] + NET gpio_slow_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2985340 4767550 ) N ; - - gpio_vtrip_sel[15] + NET gpio_vtrip_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2930140 4767550 ) N ; - - gpio_in[15] + NET gpio_in[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2994540 4767550 ) N ; - - gpio_analog_en[16] + NET gpio_analog_en[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2461160 4767550 ) N ; - - gpio_analog_pol[16] + NET gpio_analog_pol[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2454720 4767550 ) N ; - - gpio_analog_sel[16] + NET gpio_analog_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2439540 4767550 ) N ; - - gpio_dm0[16] + NET gpio_dm0[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2457940 4767550 ) N ; - - gpio_dm1[16] + NET gpio_dm1[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2467140 4767550 ) N ; - - gpio_dm2[16] + NET gpio_dm2[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2436320 4767550 ) N ; - - gpio_holdover[16] + NET gpio_holdover[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2433100 4767550 ) N ; - - gpio_ib_mode_sel[16] + NET gpio_ib_mode_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2417920 4767550 ) N ; - - gpio_inp_dis[16] + NET gpio_inp_dis[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2451960 4767550 ) N ; - - gpio_oeb[16] + NET gpio_oeb[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2414700 4767550 ) N ; - - gpio_out[16] + NET gpio_out[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2430340 4767550 ) N ; - - gpio_slow_sel[16] + NET gpio_slow_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2476340 4767550 ) N ; - - gpio_vtrip_sel[16] + NET gpio_vtrip_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2421140 4767550 ) N ; - - gpio_in[16] + NET gpio_in[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2485540 4767550 ) N ; - - gpio_dm1[17] + NET gpio_dm1[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2210140 4767550 ) N ; - - gpio_dm2[17] + NET gpio_dm2[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2179320 4767550 ) N ; - - gpio_holdover[17] + NET gpio_holdover[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2176100 4767550 ) N ; - - gpio_ib_mode_sel[17] + NET gpio_ib_mode_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2160920 4767550 ) N ; - - gpio_inp_dis[17] + NET gpio_inp_dis[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2194960 4767550 ) N ; - - gpio_oeb[17] + NET gpio_oeb[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2157700 4767550 ) N ; - - gpio_out[17] + NET gpio_out[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2173340 4767550 ) N ; - - gpio_slow_sel[17] + NET gpio_slow_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2219340 4767550 ) N ; - - gpio_vtrip_sel[17] + NET gpio_vtrip_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2164140 4767550 ) N ; - - gpio_in[17] + NET gpio_in[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2228540 4767550 ) N ; - - gpio_analog_en[18] + NET gpio_analog_en[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1759160 4767550 ) N ; - - gpio_analog_pol[18] + NET gpio_analog_pol[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1752720 4767550 ) N ; - - gpio_analog_sel[18] + NET gpio_analog_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1737540 4767550 ) N ; - - gpio_dm0[18] + NET gpio_dm0[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1755940 4767550 ) N ; - - gpio_dm1[18] + NET gpio_dm1[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1765140 4767550 ) N ; - - gpio_dm2[18] + NET gpio_dm2[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1734320 4767550 ) N ; - - gpio_holdover[18] + NET gpio_holdover[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1731100 4767550 ) N ; - - gpio_ib_mode_sel[18] + NET gpio_ib_mode_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1715920 4767550 ) N ; - - gpio_inp_dis[18] + NET gpio_inp_dis[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1749960 4767550 ) N ; - - gpio_oeb[18] + NET gpio_oeb[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1712700 4767550 ) N ; - - gpio_out[18] + NET gpio_out[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1728340 4767550 ) N ; - - gpio_slow_sel[18] + NET gpio_slow_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1774340 4767550 ) N ; - - gpio_vtrip_sel[18] + NET gpio_vtrip_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1719140 4767550 ) N ; - - gpio_in[18] + NET gpio_in[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1783540 4767550 ) N ; - - gpio_analog_en[17] + NET gpio_analog_en[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2204160 4767550 ) N ; - - gpio_analog_pol[17] + NET gpio_analog_pol[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2197720 4767550 ) N ; - - gpio_analog_sel[17] + NET gpio_analog_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2182540 4767550 ) N ; - - gpio_dm0[17] + NET gpio_dm0[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2200940 4767550 ) N ; - - gpio_slow_sel[19] + NET gpio_slow_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1265340 4767550 ) N ; - - gpio_vtrip_sel[19] + NET gpio_vtrip_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1210140 4767550 ) N ; - - gpio_in[19] + NET gpio_in[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1274540 4767550 ) N ; - - gpio_analog_en[20] + NET gpio_analog_en[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 992160 4767550 ) N ; - - gpio_analog_pol[20] + NET gpio_analog_pol[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 985720 4767550 ) N ; - - gpio_analog_sel[20] + NET gpio_analog_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 970540 4767550 ) N ; - - gpio_dm0[20] + NET gpio_dm0[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 988940 4767550 ) N ; - - gpio_dm1[20] + NET gpio_dm1[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 998140 4767550 ) N ; - - gpio_dm2[20] + NET gpio_dm2[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 967320 4767550 ) N ; - - gpio_holdover[20] + NET gpio_holdover[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 964100 4767550 ) N ; - - gpio_ib_mode_sel[20] + NET gpio_ib_mode_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 948920 4767550 ) N ; - - gpio_inp_dis[20] + NET gpio_inp_dis[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 982960 4767550 ) N ; - - gpio_oeb[20] + NET gpio_oeb[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 945700 4767550 ) N ; - - gpio_out[20] + NET gpio_out[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 961340 4767550 ) N ; - - gpio_slow_sel[20] + NET gpio_slow_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1007340 4767550 ) N ; - - gpio_vtrip_sel[20] + NET gpio_vtrip_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 952140 4767550 ) N ; - - gpio_in[20] + NET gpio_in[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1016540 4767550 ) N ; - - gpio_analog_en[19] + NET gpio_analog_en[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1250160 4767550 ) N ; - - gpio_analog_pol[19] + NET gpio_analog_pol[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1243720 4767550 ) N ; - - gpio_analog_sel[19] + NET gpio_analog_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1228540 4767550 ) N ; - - gpio_dm0[19] + NET gpio_dm0[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1246940 4767550 ) N ; - - gpio_dm1[19] + NET gpio_dm1[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1256140 4767550 ) N ; - - gpio_dm2[19] + NET gpio_dm2[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1225320 4767550 ) N ; - - gpio_holdover[19] + NET gpio_holdover[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1222100 4767550 ) N ; - - gpio_ib_mode_sel[19] + NET gpio_ib_mode_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1206920 4767550 ) N ; - - gpio_inp_dis[19] + NET gpio_inp_dis[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1240960 4767550 ) N ; - - gpio_oeb[19] + NET gpio_oeb[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1203700 4767550 ) N ; - - gpio_out[19] + NET gpio_out[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1219340 4767550 ) N ; - - gpio_in[21] + NET gpio_in[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 759540 4767550 ) N ; - - gpio_analog_en[22] + NET gpio_analog_en[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 478160 4767550 ) N ; - - gpio_analog_pol[22] + NET gpio_analog_pol[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 471720 4767550 ) N ; - - gpio_analog_sel[22] + NET gpio_analog_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 456540 4767550 ) N ; - - gpio_dm0[22] + NET gpio_dm0[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 474940 4767550 ) N ; - - gpio_dm1[22] + NET gpio_dm1[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 484140 4767550 ) N ; - - gpio_dm2[22] + NET gpio_dm2[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 453320 4767550 ) N ; - - gpio_holdover[22] + NET gpio_holdover[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 450100 4767550 ) N ; - - gpio_ib_mode_sel[22] + NET gpio_ib_mode_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 434920 4767550 ) N ; - - gpio_inp_dis[22] + NET gpio_inp_dis[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 468960 4767550 ) N ; - - gpio_oeb[22] + NET gpio_oeb[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 431700 4767550 ) N ; - - gpio_out[22] + NET gpio_out[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 447340 4767550 ) N ; - - gpio_slow_sel[22] + NET gpio_slow_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 493340 4767550 ) N ; - - gpio_vtrip_sel[22] + NET gpio_vtrip_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 438140 4767550 ) N ; - - gpio_in[22] + NET gpio_in[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 502540 4767550 ) N ; - - gpio_analog_en[23] + NET gpio_analog_en[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 221160 4767550 ) N ; - - gpio_analog_pol[23] + NET gpio_analog_pol[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 214720 4767550 ) N ; - - gpio_analog_sel[23] + NET gpio_analog_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 199540 4767550 ) N ; - - gpio_dm0[23] + NET gpio_dm0[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 217940 4767550 ) N ; - - gpio_dm1[23] + NET gpio_dm1[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 227140 4767550 ) N ; - - gpio_dm2[23] + NET gpio_dm2[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 196320 4767550 ) N ; - - gpio_holdover[23] + NET gpio_holdover[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 193100 4767550 ) N ; - - gpio_ib_mode_sel[23] + NET gpio_ib_mode_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 177920 4767550 ) N ; - - gpio_inp_dis[23] + NET gpio_inp_dis[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 211960 4767550 ) N ; - - gpio_oeb[23] + NET gpio_oeb[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 174700 4767550 ) N ; - - gpio_out[23] + NET gpio_out[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 190340 4767550 ) N ; - - gpio_slow_sel[23] + NET gpio_slow_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 236340 4767550 ) N ; - - gpio_vtrip_sel[23] + NET gpio_vtrip_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 181140 4767550 ) N ; - - gpio_in[23] + NET gpio_in[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 245540 4767550 ) N ; - - gpio_analog_en[21] + NET gpio_analog_en[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 735160 4767550 ) N ; - - gpio_analog_pol[21] + NET gpio_analog_pol[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 728720 4767550 ) N ; - - gpio_analog_sel[21] + NET gpio_analog_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 713540 4767550 ) N ; - - gpio_dm0[21] + NET gpio_dm0[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 731940 4767550 ) N ; - - gpio_dm1[21] + NET gpio_dm1[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 741140 4767550 ) N ; - - gpio_dm2[21] + NET gpio_dm2[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 710320 4767550 ) N ; - - gpio_holdover[21] + NET gpio_holdover[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 707100 4767550 ) N ; - - gpio_ib_mode_sel[21] + NET gpio_ib_mode_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 691920 4767550 ) N ; - - gpio_inp_dis[21] + NET gpio_inp_dis[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 725960 4767550 ) N ; - - gpio_oeb[21] + NET gpio_oeb[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 688700 4767550 ) N ; - - gpio_out[21] + NET gpio_out[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 704340 4767550 ) N ; - - gpio_slow_sel[21] + NET gpio_slow_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 750340 4767550 ) N ; - - gpio_vtrip_sel[21] + NET gpio_vtrip_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 695140 4767550 ) N ; - - gpio_in[38] + NET gpio_in[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 726090 -920 ) N ; - - gpio_slow_sel[38] + NET gpio_slow_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 735290 -920 ) N ; - - gpio_dm0[38] + NET gpio_dm0[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 744490 -920 ) N ; - - gpio_dm1[38] + NET gpio_dm1[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 753690 -920 ) N ; - - gpio_analog_pol[38] + NET gpio_analog_pol[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 756910 -920 ) N ; - - gpio_analog_en[38] + NET gpio_analog_en[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 750470 -920 ) N ; - - gpio_inp_dis[38] + NET gpio_inp_dis[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 759670 -920 ) N ; - - gpio_analog_sel[38] + NET gpio_analog_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 772090 -920 ) N ; - - gpio_dm2[38] + NET gpio_dm2[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 775310 -920 ) N ; - - gpio_holdover[38] + NET gpio_holdover[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 778530 -920 ) N ; - - gpio_out[38] + NET gpio_out[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 781290 -920 ) N ; - - gpio_vtrip_sel[38] + NET gpio_vtrip_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 790490 -920 ) N ; - - gpio_ib_mode_sel[38] + NET gpio_ib_mode_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 793710 -920 ) N ; - - gpio_oeb[38] + NET gpio_oeb[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 796930 -920 ) N ; - - gpio_in[39] + NET gpio_in[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1269090 -920 ) N ; - - gpio_slow_sel[39] + NET gpio_slow_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1278290 -920 ) N ; - - gpio_dm1[39] + NET gpio_dm1[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1287490 -920 ) N ; - - gpio_dm0[39] + NET gpio_dm0[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1296690 -920 ) N ; - - gpio_analog_pol[39] + NET gpio_analog_pol[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1299910 -920 ) N ; - - gpio_analog_en[39] + NET gpio_analog_en[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1293470 -920 ) N ; - - gpio_inp_dis[39] + NET gpio_inp_dis[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1302670 -920 ) N ; - - gpio_analog_sel[39] + NET gpio_analog_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1315090 -920 ) N ; - - gpio_dm2[39] + NET gpio_dm2[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1318310 -920 ) N ; - - gpio_holdover[39] + NET gpio_holdover[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1321530 -920 ) N ; - - gpio_out[39] + NET gpio_out[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1324290 -920 ) N ; - - gpio_vtrip_sel[39] + NET gpio_vtrip_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1333490 -920 ) N ; - - gpio_ib_mode_sel[39] + NET gpio_ib_mode_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1336710 -920 ) N ; - - gpio_oeb[39] + NET gpio_oeb[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1339930 -920 ) N ; - - gpio_in[40] + NET gpio_in[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1543090 -920 ) N ; - - gpio_slow_sel[40] + NET gpio_slow_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1552290 -920 ) N ; - - gpio_dm1[40] + NET gpio_dm1[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1561490 -920 ) N ; - - gpio_dm0[40] + NET gpio_dm0[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1570690 -920 ) N ; - - gpio_analog_pol[40] + NET gpio_analog_pol[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1573910 -920 ) N ; - - gpio_analog_en[40] + NET gpio_analog_en[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1567470 -920 ) N ; - - gpio_inp_dis[40] + NET gpio_inp_dis[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1576670 -920 ) N ; - - gpio_analog_sel[40] + NET gpio_analog_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1589090 -920 ) N ; - - gpio_dm2[40] + NET gpio_dm2[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1592310 -920 ) N ; - - gpio_holdover[40] + NET gpio_holdover[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1595530 -920 ) N ; - - gpio_out[40] + NET gpio_out[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1598290 -920 ) N ; - - gpio_vtrip_sel[40] + NET gpio_vtrip_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1607490 -920 ) N ; - - gpio_ib_mode_sel[40] + NET gpio_ib_mode_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1610710 -920 ) N ; - - gpio_oeb[40] + NET gpio_oeb[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1613930 -920 ) N ; - - gpio_in[41] + NET gpio_in[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1817090 -920 ) N ; - - gpio_slow_sel[41] + NET gpio_slow_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1826290 -920 ) N ; - - gpio_dm1[41] + NET gpio_dm1[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1835490 -920 ) N ; - - gpio_dm0[41] + NET gpio_dm0[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1844690 -920 ) N ; - - gpio_analog_pol[41] + NET gpio_analog_pol[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1847910 -920 ) N ; - - gpio_analog_en[41] + NET gpio_analog_en[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1841470 -920 ) N ; - - gpio_inp_dis[41] + NET gpio_inp_dis[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1850670 -920 ) N ; - - gpio_analog_sel[41] + NET gpio_analog_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1863090 -920 ) N ; - - gpio_dm2[41] + NET gpio_dm2[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1866310 -920 ) N ; - - gpio_holdover[41] + NET gpio_holdover[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1869530 -920 ) N ; - - gpio_out[41] + NET gpio_out[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1872290 -920 ) N ; - - gpio_vtrip_sel[41] + NET gpio_vtrip_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1881490 -920 ) N ; - - gpio_ib_mode_sel[41] + NET gpio_ib_mode_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1884710 -920 ) N ; - - gpio_oeb[41] + NET gpio_oeb[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1887930 -920 ) N ; - - gpio_in[42] + NET gpio_in[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2091090 -920 ) N ; - - gpio_slow_sel[42] + NET gpio_slow_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2100290 -920 ) N ; - - gpio_dm1[42] + NET gpio_dm1[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2109490 -920 ) N ; - - gpio_dm0[42] + NET gpio_dm0[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2118690 -920 ) N ; - - gpio_analog_pol[42] + NET gpio_analog_pol[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2121910 -920 ) N ; - - gpio_analog_en[42] + NET gpio_analog_en[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2115470 -920 ) N ; - - gpio_inp_dis[42] + NET gpio_inp_dis[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2124670 -920 ) N ; - - gpio_analog_sel[42] + NET gpio_analog_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2137090 -920 ) N ; - - gpio_dm2[42] + NET gpio_dm2[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2140310 -920 ) N ; - - gpio_holdover[42] + NET gpio_holdover[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2143530 -920 ) N ; - - gpio_out[42] + NET gpio_out[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2146290 -920 ) N ; - - gpio_vtrip_sel[42] + NET gpio_vtrip_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2155490 -920 ) N ; - - gpio_ib_mode_sel[42] + NET gpio_ib_mode_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2158710 -920 ) N ; - - gpio_oeb[42] + NET gpio_oeb[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2161930 -920 ) N ; - - gpio_in[43] + NET gpio_in[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2365090 -920 ) N ; - - gpio_slow_sel[43] + NET gpio_slow_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2374290 -920 ) N ; - - gpio_dm1[43] + NET gpio_dm1[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2383490 -920 ) N ; - - gpio_dm0[43] + NET gpio_dm0[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2392690 -920 ) N ; - - gpio_analog_pol[43] + NET gpio_analog_pol[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2395910 -920 ) N ; - - gpio_analog_en[43] + NET gpio_analog_en[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2389470 -920 ) N ; - - gpio_inp_dis[43] + NET gpio_inp_dis[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2398670 -920 ) N ; - - gpio_analog_sel[43] + NET gpio_analog_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2411090 -920 ) N ; - - gpio_dm2[43] + NET gpio_dm2[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2414310 -920 ) N ; - - gpio_holdover[43] + NET gpio_holdover[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2417530 -920 ) N ; - - gpio_out[43] + NET gpio_out[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2420290 -920 ) N ; - - gpio_ib_mode_sel[43] + NET gpio_ib_mode_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2432710 -920 ) N ; - - gpio_oeb[43] + NET gpio_oeb[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2435930 -920 ) N ; - - gpio_in_h[15] + NET gpio_in_h[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2920940 4767550 ) N ; - - gpio_in_h[16] + NET gpio_in_h[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2411940 4767550 ) N ; - - gpio_in_h[17] + NET gpio_in_h[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2154940 4767550 ) N ; - - gpio_in_h[18] + NET gpio_in_h[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1709940 4767550 ) N ; - - gpio_in_h[19] + NET gpio_in_h[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1200940 4767550 ) N ; - - gpio_in_h[20] + NET gpio_in_h[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 942940 4767550 ) N ; - - gpio_in_h[21] + NET gpio_in_h[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 685940 4767550 ) N ; - - gpio_in_h[22] + NET gpio_in_h[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 428940 4767550 ) N ; - - gpio_in_h[23] + NET gpio_in_h[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 171940 4767550 ) N ; - - gpio_in_h[38] + NET gpio_in_h[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 799690 -920 ) N ; - - gpio_in_h[39] + NET gpio_in_h[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1342690 -920 ) N ; - - gpio_in_h[40] + NET gpio_in_h[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1616690 -920 ) N ; - - gpio_in_h[41] + NET gpio_in_h[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1890690 -920 ) N ; - - gpio_in_h[42] + NET gpio_in_h[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2164690 -920 ) N ; - - gpio_in_h[43] + NET gpio_in_h[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2438690 -920 ) N ; - - analog_io[15] + NET analog_io[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2982120 4767550 ) N ; - - analog_io[16] + NET analog_io[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2473120 4767550 ) N ; - - analog_io[17] + NET analog_io[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2216120 4767550 ) N ; - - analog_io[18] + NET analog_io[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1771120 4767550 ) N ; - - analog_io[19] + NET analog_io[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1262120 4767550 ) N ; - - analog_io[20] + NET analog_io[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1004120 4767550 ) N ; - - analog_io[21] + NET analog_io[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 747120 4767550 ) N ; - - analog_io[22] + NET analog_io[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 490120 4767550 ) N ; - - analog_io[23] + NET analog_io[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 233120 4767550 ) N ; - - analog_io[38] + NET analog_io[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 738510 -920 ) N ; - - analog_io[39] + NET analog_io[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1281510 -920 ) N ; - - analog_io[40] + NET analog_io[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1555510 -920 ) N ; - - analog_io[41] + NET analog_io[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1829510 -920 ) N ; - - analog_io[42] + NET analog_io[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2103510 -920 ) N ; - - analog_io[43] + NET analog_io[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2377510 -920 ) N ; - - analog_noesd_io[15] + NET analog_noesd_io[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2972920 4767550 ) N ; - - analog_noesd_io[16] + NET analog_noesd_io[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2463920 4767550 ) N ; - - analog_noesd_io[17] + NET analog_noesd_io[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2206920 4767550 ) N ; - - analog_noesd_io[18] + NET analog_noesd_io[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1761920 4767550 ) N ; - - analog_noesd_io[19] + NET analog_noesd_io[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1252920 4767550 ) N ; - - analog_noesd_io[20] + NET analog_noesd_io[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 994920 4767550 ) N ; - - analog_noesd_io[21] + NET analog_noesd_io[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 737920 4767550 ) N ; - - analog_noesd_io[22] + NET analog_noesd_io[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 480920 4767550 ) N ; - - analog_noesd_io[23] + NET analog_noesd_io[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 223920 4767550 ) N ; - - analog_noesd_io[38] + NET analog_noesd_io[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 747710 -920 ) N ; - - analog_noesd_io[39] + NET analog_noesd_io[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1290710 -920 ) N ; - - analog_noesd_io[40] + NET analog_noesd_io[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1564710 -920 ) N ; - - analog_noesd_io[41] + NET analog_noesd_io[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1838710 -920 ) N ; - - analog_noesd_io[42] + NET analog_noesd_io[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2112710 -920 ) N ; - - analog_noesd_io[43] + NET analog_noesd_io[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2386710 -920 ) N ; - - gpio_loopback_one[43] + NET gpio_loopback_one[43] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2442030 -510 ) N ; - - gpio_loopback_zero[43] + NET gpio_loopback_zero[43] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2463305 -510 ) N ; - - gpio_loopback_one[42] + NET gpio_loopback_one[42] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2168030 -510 ) N ; - - gpio_loopback_zero[42] + NET gpio_loopback_zero[42] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2189020 -510 ) N ; - - gpio_loopback_one[41] + NET gpio_loopback_one[41] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1894030 -510 ) N ; - - gpio_loopback_zero[41] + NET gpio_loopback_zero[41] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1915020 -510 ) N ; - - gpio_loopback_one[40] + NET gpio_loopback_one[40] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1620030 -510 ) N ; - - gpio_loopback_zero[40] + NET gpio_loopback_zero[40] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1640955 -620 ) N ; - - gpio_loopback_one[39] + NET gpio_loopback_one[39] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1346030 -510 ) N ; - - gpio_loopback_zero[39] + NET gpio_loopback_zero[39] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1366930 -510 ) N ; - - gpio_loopback_one[38] + NET gpio_loopback_one[38] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 803030 -510 ) N ; - - gpio_loopback_zero[38] + NET gpio_loopback_zero[38] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 819085 -505 ) N ; - - resetb_l + NET resetb_l - + PORT - + LAYER met2 ( -140 -430 ) ( 140 430 ) + PLACED ( 550960 -150 ) N ; - - resetb_h + NET resetb_h - + PORT - + LAYER met2 ( -165 -365 ) ( 165 365 ) + PLACED ( 498020 -85 ) N ; - - mask_rev[0] + NET mask_rev[0] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3025540 -510 ) N ; - - mask_rev[4] + NET mask_rev[4] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3030020 -510 ) N ; - - mask_rev[5] + NET mask_rev[5] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3031140 -510 ) N ; - - mask_rev[6] + NET mask_rev[6] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3032260 -510 ) N ; - - mask_rev[7] + NET mask_rev[7] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3033380 -510 ) N ; - - mask_rev[8] + NET mask_rev[8] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3034500 -510 ) N ; - - mask_rev[9] + NET mask_rev[9] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3035620 -510 ) N ; - - mask_rev[10] + NET mask_rev[10] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3036740 -510 ) N ; - - mask_rev[11] + NET mask_rev[11] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3037860 -510 ) N ; - - mask_rev[12] + NET mask_rev[12] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3038980 -510 ) N ; - - mask_rev[13] + NET mask_rev[13] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3040100 -510 ) N ; - - mask_rev[14] + NET mask_rev[14] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3041220 -510 ) N ; - - mask_rev[15] + NET mask_rev[15] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3042340 -510 ) N ; - - mask_rev[16] + NET mask_rev[16] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3043460 -510 ) N ; - - mask_rev[17] + NET mask_rev[17] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3044580 -510 ) N ; - - mask_rev[18] + NET mask_rev[18] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3045700 -510 ) N ; - - mask_rev[19] + NET mask_rev[19] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3046820 -510 ) N ; - - mask_rev[20] + NET mask_rev[20] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3047940 -510 ) N ; - - mask_rev[21] + NET mask_rev[21] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3049060 -510 ) N ; - - mask_rev[22] + NET mask_rev[22] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3050180 -510 ) N ; - - mask_rev[23] + NET mask_rev[23] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3051300 -510 ) N ; - - mask_rev[24] + NET mask_rev[24] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3052420 -510 ) N ; - - mask_rev[25] + NET mask_rev[25] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3053540 -510 ) N ; - - mask_rev[26] + NET mask_rev[26] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3054660 -510 ) N ; - - mask_rev[27] + NET mask_rev[27] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3055780 -510 ) N ; - - mask_rev[28] + NET mask_rev[28] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3056900 -510 ) N ; - - mask_rev[29] + NET mask_rev[29] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3058020 -510 ) N ; - - mask_rev[30] + NET mask_rev[30] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3059140 -510 ) N ; - - mask_rev[31] + NET mask_rev[31] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3060260 -510 ) N ; - - mask_rev[3] + NET mask_rev[3] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3028900 -510 ) N ; - - mask_rev[2] + NET mask_rev[2] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3027780 -510 ) N ; - - mask_rev[1] + NET mask_rev[1] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3026660 -510 ) N ; - - gpio_vtrip_sel[37] + NET gpio_vtrip_sel[37] - + PORT - + LAYER met3 ( -1200 -140 ) ( 1200 140 ) + PLACED ( -920 708140 ) N ; - - vccd1 + NET vccd1 - + PORT - + LAYER met3 ( -1250 -11627.5 ) ( 1250 11627.5 ) + PLACED ( 3167600 2125687.5 ) N ; - - vssd1 + NET vssd1 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( 3167600 2150815 ) N ; - - vssd1 + NET vssd1 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( 3167600 2100587.5 ) N ; - - vssd1 + NET vssd1 - + PORT - + LAYER met3 ( -1250 -11655 ) ( 1250 11655 ) + PLACED ( 3167600 4364710 ) N ; - - vccd1 + NET vccd1 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( 3167600 4389815 ) N ; - - vccd1 + NET vccd1 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( 3167600 4339587.5 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 3943870 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 3893975 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 2370870 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 2320975 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 1929870 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 1879975 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2729655 4767600 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2679760 4767600 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 1518655 4767600 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 1468760 4767600 ) N ; - - vssd2 + NET vssd2 - + PORT - + LAYER met3 ( -1250 -11655 ) ( 1250 11655 ) + PLACED ( -970 4386920 ) N ; - - vccd2 + NET vccd2 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( -970 4412042.5 ) N ; - - vccd2 + NET vccd2 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( -970 4361815 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 4200655 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 4150760 ) N ; - - vssa2 + NET vssa2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 3989655 ) N ; - - vssa2 + NET vssa2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 3939760 ) N ; - - vdda2 + NET vdda2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 2266655 ) N ; - - vdda2 + NET vdda2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 2216760 ) N ; - - vccd2 + NET vccd2 - + PORT - + LAYER met3 ( -1250 -11627.5 ) ( 1250 11627.5 ) + PLACED ( -970 2030942.5 ) N ; - - vssd2 + NET vssd2 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( -970 2056042.5 ) N ; - - vssd2 + NET vssd2 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( -970 2005815 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 402655 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 352760 ) N ; - - vccd + NET vccd - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( -970 192042.5 ) N ; - - vccd + NET vccd - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( -970 141815 ) N ; - - vssa + NET vssa - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 245870 -965 ) N ; - - vssa + NET vssa - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 195975 -970 ) N ; - - vssd + NET vssd - + PORT - + LAYER met3 ( -12000 -1250 ) ( 12000 1250 ) + PLACED ( 1057815 -970 ) N ; - - vssd + NET vssd - + PORT - + LAYER met3 ( -11572.5 -1250 ) ( 11572.5 1250 ) + PLACED ( 1007987.5 -970 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2696870 -970 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2646975 -970 ) N ; - - vdda + NET vdda - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2915975 -970 ) N ; - - vdda + NET vdda - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2965870 -970 ) N ; - - gpio_loopback_one[0] + NET gpio_loopback_one[0] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 380180 ) N ; - - gpio_loopback_one[1] + NET gpio_loopback_one[1] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 605180 ) N ; - - gpio_loopback_one[2] + NET gpio_loopback_one[2] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 830180 ) N ; - - gpio_loopback_zero[2] + NET gpio_loopback_zero[2] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 840190 ) N ; - - gpio_loopback_zero[1] + NET gpio_loopback_zero[1] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 615190 ) N ; - - gpio_loopback_zero[0] + NET gpio_loopback_zero[0] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 390190 ) N ; - - gpio_analog_en[12] + NET gpio_analog_en[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3684470 ) N ; - - gpio_analog_pol[12] + NET gpio_analog_pol[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3690910 ) N ; - - gpio_analog_sel[12] + NET gpio_analog_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3706090 ) N ; - - gpio_dm0[12] + NET gpio_dm0[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3687690 ) N ; - - gpio_dm1[12] + NET gpio_dm1[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3678490 ) N ; - - gpio_dm2[12] + NET gpio_dm2[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3709310 ) N ; - - gpio_holdover[12] + NET gpio_holdover[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3712530 ) N ; - - gpio_ib_mode_sel[12] + NET gpio_ib_mode_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3727710 ) N ; - - gpio_inp_dis[12] + NET gpio_inp_dis[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3693670 ) N ; - - gpio_oeb[12] + NET gpio_oeb[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3730930 ) N ; - - gpio_out[12] + NET gpio_out[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3715290 ) N ; - - gpio_slow_sel[12] + NET gpio_slow_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3669290 ) N ; - - gpio_vtrip_sel[12] + NET gpio_vtrip_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3724490 ) N ; - - gpio_in[12] + NET gpio_in[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3660090 ) N ; - - gpio_analog_en[13] + NET gpio_analog_en[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4130470 ) N ; - - gpio_analog_pol[13] + NET gpio_analog_pol[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4136910 ) N ; - - gpio_analog_sel[13] + NET gpio_analog_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4152090 ) N ; - - gpio_dm0[13] + NET gpio_dm0[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4133690 ) N ; - - gpio_dm1[13] + NET gpio_dm1[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4124490 ) N ; - - gpio_dm2[13] + NET gpio_dm2[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4155310 ) N ; - - gpio_holdover[13] + NET gpio_holdover[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4158530 ) N ; - - gpio_ib_mode_sel[13] + NET gpio_ib_mode_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4173710 ) N ; - - gpio_inp_dis[13] + NET gpio_inp_dis[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4139670 ) N ; - - gpio_oeb[13] + NET gpio_oeb[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4176930 ) N ; - - gpio_out[13] + NET gpio_out[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4161290 ) N ; - - gpio_slow_sel[13] + NET gpio_slow_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4115290 ) N ; - - gpio_vtrip_sel[13] + NET gpio_vtrip_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4170490 ) N ; - - gpio_in[13] + NET gpio_in[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4106090 ) N ; - - gpio_analog_en[14] + NET gpio_analog_en[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4576470 ) N ; - - gpio_analog_pol[14] + NET gpio_analog_pol[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4582910 ) N ; - - gpio_analog_sel[14] + NET gpio_analog_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4598090 ) N ; - - gpio_dm0[14] + NET gpio_dm0[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4579690 ) N ; - - gpio_dm1[14] + NET gpio_dm1[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4570490 ) N ; - - gpio_dm2[14] + NET gpio_dm2[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4601310 ) N ; - - gpio_holdover[14] + NET gpio_holdover[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4604530 ) N ; - - gpio_ib_mode_sel[14] + NET gpio_ib_mode_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4619710 ) N ; - - gpio_inp_dis[14] + NET gpio_inp_dis[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4585670 ) N ; - - gpio_oeb[14] + NET gpio_oeb[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4622930 ) N ; - - gpio_out[14] + NET gpio_out[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4607290 ) N ; - - gpio_slow_sel[14] + NET gpio_slow_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4561290 ) N ; - - gpio_vtrip_sel[14] + NET gpio_vtrip_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4616490 ) N ; - - gpio_in[14] + NET gpio_in[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4552090 ) N ; - - gpio_holdover[11] + NET gpio_holdover[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3487530 ) N ; - - gpio_ib_mode_sel[11] + NET gpio_ib_mode_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3502710 ) N ; - - gpio_inp_dis[11] + NET gpio_inp_dis[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3468670 ) N ; - - gpio_oeb[11] + NET gpio_oeb[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3505930 ) N ; - - gpio_out[11] + NET gpio_out[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3490290 ) N ; - - gpio_slow_sel[11] + NET gpio_slow_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3444290 ) N ; - - gpio_vtrip_sel[11] + NET gpio_vtrip_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3499490 ) N ; - - gpio_in[11] + NET gpio_in[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3435090 ) N ; - - gpio_analog_en[10] + NET gpio_analog_en[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3234470 ) N ; - - gpio_analog_pol[10] + NET gpio_analog_pol[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3240910 ) N ; - - gpio_analog_sel[10] + NET gpio_analog_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3256090 ) N ; - - gpio_dm0[10] + NET gpio_dm0[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3237690 ) N ; - - gpio_dm1[10] + NET gpio_dm1[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3228490 ) N ; - - gpio_dm2[10] + NET gpio_dm2[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3259310 ) N ; - - gpio_holdover[10] + NET gpio_holdover[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3262530 ) N ; - - gpio_ib_mode_sel[10] + NET gpio_ib_mode_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3277710 ) N ; - - gpio_inp_dis[10] + NET gpio_inp_dis[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3243670 ) N ; - - gpio_oeb[10] + NET gpio_oeb[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3280930 ) N ; - - gpio_out[10] + NET gpio_out[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3265290 ) N ; - - gpio_slow_sel[10] + NET gpio_slow_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3219290 ) N ; - - gpio_vtrip_sel[10] + NET gpio_vtrip_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3274490 ) N ; - - gpio_in[10] + NET gpio_in[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3210090 ) N ; - - gpio_analog_en[7] + NET gpio_analog_en[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2557470 ) N ; - - gpio_analog_pol[7] + NET gpio_analog_pol[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2563910 ) N ; - - gpio_analog_sel[7] + NET gpio_analog_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2579090 ) N ; - - gpio_dm0[7] + NET gpio_dm0[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2560690 ) N ; - - gpio_dm1[7] + NET gpio_dm1[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2551490 ) N ; - - gpio_dm2[7] + NET gpio_dm2[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2582310 ) N ; - - gpio_holdover[7] + NET gpio_holdover[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2585530 ) N ; - - gpio_ib_mode_sel[7] + NET gpio_ib_mode_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2600710 ) N ; - - gpio_inp_dis[7] + NET gpio_inp_dis[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2566670 ) N ; - - gpio_oeb[7] + NET gpio_oeb[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2603930 ) N ; - - gpio_out[7] + NET gpio_out[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2588290 ) N ; - - gpio_slow_sel[7] + NET gpio_slow_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2542290 ) N ; - - gpio_vtrip_sel[7] + NET gpio_vtrip_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2597490 ) N ; - - gpio_in[7] + NET gpio_in[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2533090 ) N ; - - gpio_analog_en[8] + NET gpio_analog_en[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2783470 ) N ; - - gpio_analog_pol[8] + NET gpio_analog_pol[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2789910 ) N ; - - gpio_analog_sel[8] + NET gpio_analog_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2805090 ) N ; - - gpio_dm0[8] + NET gpio_dm0[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2786690 ) N ; - - gpio_dm1[8] + NET gpio_dm1[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2777490 ) N ; - - gpio_dm2[8] + NET gpio_dm2[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2808310 ) N ; - - gpio_holdover[8] + NET gpio_holdover[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2811530 ) N ; - - gpio_ib_mode_sel[8] + NET gpio_ib_mode_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2826710 ) N ; - - gpio_inp_dis[8] + NET gpio_inp_dis[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2792670 ) N ; - - gpio_oeb[8] + NET gpio_oeb[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2829930 ) N ; - - gpio_out[8] + NET gpio_out[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2814290 ) N ; - - gpio_slow_sel[8] + NET gpio_slow_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2768290 ) N ; - - gpio_vtrip_sel[8] + NET gpio_vtrip_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2823490 ) N ; - - gpio_in[8] + NET gpio_in[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2759090 ) N ; - - gpio_analog_en[9] + NET gpio_analog_en[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3008470 ) N ; - - gpio_analog_pol[9] + NET gpio_analog_pol[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3014910 ) N ; - - gpio_analog_sel[9] + NET gpio_analog_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3030090 ) N ; - - gpio_dm0[9] + NET gpio_dm0[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3011690 ) N ; - - gpio_dm1[9] + NET gpio_dm1[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3002490 ) N ; - - gpio_dm2[9] + NET gpio_dm2[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3033310 ) N ; - - gpio_holdover[9] + NET gpio_holdover[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3036530 ) N ; - - gpio_ib_mode_sel[9] + NET gpio_ib_mode_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3051710 ) N ; - - gpio_inp_dis[9] + NET gpio_inp_dis[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3017670 ) N ; - - gpio_oeb[9] + NET gpio_oeb[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3054930 ) N ; - - gpio_out[9] + NET gpio_out[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3039290 ) N ; - - gpio_slow_sel[9] + NET gpio_slow_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2993290 ) N ; - - gpio_vtrip_sel[9] + NET gpio_vtrip_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3048490 ) N ; - - gpio_in[9] + NET gpio_in[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2984090 ) N ; - - gpio_analog_en[11] + NET gpio_analog_en[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3459470 ) N ; - - gpio_analog_pol[11] + NET gpio_analog_pol[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3465910 ) N ; - - gpio_analog_sel[11] + NET gpio_analog_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3481090 ) N ; - - gpio_dm0[11] + NET gpio_dm0[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3462690 ) N ; - - gpio_dm1[11] + NET gpio_dm1[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3453490 ) N ; - - gpio_dm2[11] + NET gpio_dm2[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3484310 ) N ; - - gpio_analog_en[4] + NET gpio_analog_en[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1220470 ) N ; - - gpio_analog_pol[4] + NET gpio_analog_pol[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1226910 ) N ; - - gpio_analog_sel[4] + NET gpio_analog_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1242090 ) N ; - - gpio_dm0[4] + NET gpio_dm0[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1223690 ) N ; - - gpio_dm1[4] + NET gpio_dm1[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1214490 ) N ; - - gpio_dm2[4] + NET gpio_dm2[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1245310 ) N ; - - gpio_holdover[4] + NET gpio_holdover[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1248530 ) N ; - - gpio_ib_mode_sel[4] + NET gpio_ib_mode_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1263710 ) N ; - - gpio_inp_dis[4] + NET gpio_inp_dis[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1229670 ) N ; - - gpio_oeb[4] + NET gpio_oeb[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1266930 ) N ; - - gpio_out[4] + NET gpio_out[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1251290 ) N ; - - gpio_slow_sel[4] + NET gpio_slow_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1205290 ) N ; - - gpio_vtrip_sel[4] + NET gpio_vtrip_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1260490 ) N ; - - gpio_in[4] + NET gpio_in[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1196090 ) N ; - - gpio_analog_en[5] + NET gpio_analog_en[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1445470 ) N ; - - gpio_analog_pol[5] + NET gpio_analog_pol[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1451910 ) N ; - - gpio_analog_sel[5] + NET gpio_analog_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1467090 ) N ; - - gpio_dm0[5] + NET gpio_dm0[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1448690 ) N ; - - gpio_dm1[5] + NET gpio_dm1[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1439490 ) N ; - - gpio_dm2[5] + NET gpio_dm2[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1470310 ) N ; - - gpio_holdover[5] + NET gpio_holdover[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1473530 ) N ; - - gpio_ib_mode_sel[5] + NET gpio_ib_mode_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1488710 ) N ; - - gpio_inp_dis[5] + NET gpio_inp_dis[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1454670 ) N ; - - gpio_oeb[5] + NET gpio_oeb[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1491930 ) N ; - - gpio_out[5] + NET gpio_out[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1476290 ) N ; - - gpio_slow_sel[5] + NET gpio_slow_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1430290 ) N ; - - gpio_vtrip_sel[5] + NET gpio_vtrip_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1485490 ) N ; - - gpio_in[5] + NET gpio_in[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1421090 ) N ; - - gpio_analog_en[6] + NET gpio_analog_en[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1671470 ) N ; - - gpio_analog_pol[6] + NET gpio_analog_pol[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1677910 ) N ; - - gpio_analog_sel[6] + NET gpio_analog_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1693090 ) N ; - - gpio_dm0[6] + NET gpio_dm0[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1674690 ) N ; - - gpio_dm1[6] + NET gpio_dm1[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1665490 ) N ; - - gpio_dm2[6] + NET gpio_dm2[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1696310 ) N ; - - gpio_holdover[6] + NET gpio_holdover[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1699530 ) N ; - - gpio_ib_mode_sel[6] + NET gpio_ib_mode_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1714710 ) N ; - - gpio_inp_dis[6] + NET gpio_inp_dis[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1680670 ) N ; - - gpio_oeb[6] + NET gpio_oeb[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1717930 ) N ; - - gpio_out[6] + NET gpio_out[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1702290 ) N ; - - gpio_slow_sel[6] + NET gpio_slow_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1656290 ) N ; - - gpio_vtrip_sel[6] + NET gpio_vtrip_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1711490 ) N ; - - gpio_in[6] + NET gpio_in[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1647090 ) N ; - - gpio_analog_en[1] + NET gpio_analog_en[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 544470 ) N ; - - gpio_analog_pol[1] + NET gpio_analog_pol[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 550910 ) N ; - - gpio_analog_sel[1] + NET gpio_analog_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 566090 ) N ; - - gpio_dm0[1] + NET gpio_dm0[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 547690 ) N ; - - gpio_dm1[1] + NET gpio_dm1[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 538490 ) N ; - - gpio_dm2[1] + NET gpio_dm2[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 569310 ) N ; - - gpio_holdover[1] + NET gpio_holdover[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 572530 ) N ; - - gpio_ib_mode_sel[1] + NET gpio_ib_mode_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 587710 ) N ; - - gpio_inp_dis[1] + NET gpio_inp_dis[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 553670 ) N ; - - gpio_oeb[1] + NET gpio_oeb[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 590930 ) N ; - - gpio_out[1] + NET gpio_out[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 575290 ) N ; - - gpio_slow_sel[1] + NET gpio_slow_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 529290 ) N ; - - gpio_vtrip_sel[1] + NET gpio_vtrip_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 584490 ) N ; - - gpio_in[1] + NET gpio_in[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 520090 ) N ; - - gpio_analog_en[2] + NET gpio_analog_en[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 769470 ) N ; - - gpio_analog_pol[2] + NET gpio_analog_pol[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 775910 ) N ; - - gpio_analog_sel[2] + NET gpio_analog_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 791090 ) N ; - - gpio_dm0[2] + NET gpio_dm0[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 772690 ) N ; - - gpio_dm1[2] + NET gpio_dm1[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 763490 ) N ; - - gpio_dm2[2] + NET gpio_dm2[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 794310 ) N ; - - gpio_holdover[2] + NET gpio_holdover[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 797530 ) N ; - - gpio_ib_mode_sel[2] + NET gpio_ib_mode_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 812710 ) N ; - - gpio_inp_dis[2] + NET gpio_inp_dis[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 778670 ) N ; - - gpio_oeb[2] + NET gpio_oeb[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 815930 ) N ; - - gpio_out[2] + NET gpio_out[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 800290 ) N ; - - gpio_slow_sel[2] + NET gpio_slow_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 754290 ) N ; - - gpio_vtrip_sel[2] + NET gpio_vtrip_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 809490 ) N ; - - gpio_in[2] + NET gpio_in[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 745090 ) N ; - - gpio_analog_en[3] + NET gpio_analog_en[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 995470 ) N ; - - gpio_analog_pol[3] + NET gpio_analog_pol[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1001910 ) N ; - - gpio_analog_sel[3] + NET gpio_analog_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1017090 ) N ; - - gpio_dm1[3] + NET gpio_dm1[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 989490 ) N ; - - gpio_dm2[3] + NET gpio_dm2[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1020310 ) N ; - - gpio_dm0[3] + NET gpio_dm0[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 998690 ) N ; - - gpio_holdover[3] + NET gpio_holdover[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1023530 ) N ; - - gpio_ib_mode_sel[3] + NET gpio_ib_mode_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1038710 ) N ; - - gpio_inp_dis[3] + NET gpio_inp_dis[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1004670 ) N ; - - gpio_oeb[3] + NET gpio_oeb[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1041930 ) N ; - - gpio_out[3] + NET gpio_out[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1026290 ) N ; - - gpio_slow_sel[3] + NET gpio_slow_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 980290 ) N ; - - gpio_vtrip_sel[3] + NET gpio_vtrip_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1035490 ) N ; - - gpio_analog_en[0] + NET gpio_analog_en[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 318470 ) N ; - - gpio_analog_pol[0] + NET gpio_analog_pol[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 324910 ) N ; - - gpio_analog_sel[0] + NET gpio_analog_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 340090 ) N ; - - gpio_dm0[0] + NET gpio_dm0[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 321690 ) N ; - - gpio_dm1[0] + NET gpio_dm1[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 312490 ) N ; - - gpio_dm2[0] + NET gpio_dm2[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 343310 ) N ; - - gpio_holdover[0] + NET gpio_holdover[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 346530 ) N ; - - gpio_ib_mode_sel[0] + NET gpio_ib_mode_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 361710 ) N ; - - gpio_inp_dis[0] + NET gpio_inp_dis[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 327670 ) N ; - - gpio_oeb[0] + NET gpio_oeb[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 364930 ) N ; - - gpio_out[0] + NET gpio_out[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 349290 ) N ; - - gpio_slow_sel[0] + NET gpio_slow_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 303290 ) N ; - - gpio_vtrip_sel[0] + NET gpio_vtrip_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 358490 ) N ; - - gpio_in[0] + NET gpio_in[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 294090 ) N ; - - gpio_in[3] + NET gpio_in[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 971090 ) N ; - - analog_io[0] + NET analog_io[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 306510 ) N ; - - analog_noesd_io[0] + NET analog_noesd_io[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 315710 ) N ; - - analog_noesd_io[1] + NET analog_noesd_io[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 541710 ) N ; - - analog_io[1] + NET analog_io[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 532510 ) N ; - - gpio_in_h[0] + NET gpio_in_h[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 367690 ) N ; - - gpio_in_h[1] + NET gpio_in_h[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 593690 ) N ; - - analog_io[2] + NET analog_io[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 757510 ) N ; - - analog_noesd_io[2] + NET analog_noesd_io[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 766710 ) N ; - - gpio_in_h[2] + NET gpio_in_h[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 818690 ) N ; - - analog_io[3] + NET analog_io[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 983510 ) N ; - - analog_noesd_io[3] + NET analog_noesd_io[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 992710 ) N ; - - gpio_in_h[3] + NET gpio_in_h[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1044690 ) N ; - - analog_io[4] + NET analog_io[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1208510 ) N ; - - analog_noesd_io[4] + NET analog_noesd_io[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1217710 ) N ; - - gpio_in_h[4] + NET gpio_in_h[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1269690 ) N ; - - analog_io[5] + NET analog_io[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1433510 ) N ; - - analog_noesd_io[5] + NET analog_noesd_io[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1442710 ) N ; - - gpio_in_h[5] + NET gpio_in_h[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1494690 ) N ; - - analog_io[6] + NET analog_io[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1659510 ) N ; - - analog_noesd_io[6] + NET analog_noesd_io[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1668710 ) N ; - - gpio_in_h[6] + NET gpio_in_h[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1720690 ) N ; - - analog_io[7] + NET analog_io[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2545510 ) N ; - - analog_noesd_io[7] + NET analog_noesd_io[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2554710 ) N ; - - gpio_in_h[7] + NET gpio_in_h[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2606690 ) N ; - - analog_io[8] + NET analog_io[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2771510 ) N ; - - analog_noesd_io[8] + NET analog_noesd_io[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2780710 ) N ; - - gpio_in_h[8] + NET gpio_in_h[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2832690 ) N ; - - analog_io[9] + NET analog_io[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2996510 ) N ; - - analog_noesd_io[9] + NET analog_noesd_io[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3005710 ) N ; - - gpio_in_h[9] + NET gpio_in_h[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3057690 ) N ; - - analog_io[10] + NET analog_io[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3222510 ) N ; - - analog_noesd_io[10] + NET analog_noesd_io[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3231710 ) N ; - - gpio_in_h[10] + NET gpio_in_h[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3283690 ) N ; - - analog_io[11] + NET analog_io[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3447510 ) N ; - - analog_noesd_io[11] + NET analog_noesd_io[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3456710 ) N ; - - gpio_in_h[11] + NET gpio_in_h[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3508690 ) N ; - - gpio_in_h[12] + NET gpio_in_h[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3733690 ) N ; - - gpio_in_h[13] + NET gpio_in_h[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4179690 ) N ; - - gpio_in_h[14] + NET gpio_in_h[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4625690 ) N ; - - analog_io[12] + NET analog_io[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3672510 ) N ; - - analog_io[13] + NET analog_io[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4118510 ) N ; - - analog_io[14] + NET analog_io[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4564510 ) N ; - - analog_noesd_io[12] + NET analog_noesd_io[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3681710 ) N ; - - analog_noesd_io[13] + NET analog_noesd_io[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4127710 ) N ; - - analog_noesd_io[14] + NET analog_noesd_io[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4573710 ) N ; - - gpio_analog_en[24] + NET gpio_analog_en[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4611160 ) N ; - - gpio_analog_pol[24] + NET gpio_analog_pol[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4604720 ) N ; - - gpio_analog_sel[24] + NET gpio_analog_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4589540 ) N ; - - gpio_dm0[24] + NET gpio_dm0[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4607940 ) N ; - - gpio_dm1[24] + NET gpio_dm1[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4617140 ) N ; - - gpio_dm2[24] + NET gpio_dm2[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4586320 ) N ; - - gpio_holdover[24] + NET gpio_holdover[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4583100 ) N ; - - gpio_ib_mode_sel[24] + NET gpio_ib_mode_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4567920 ) N ; - - gpio_inp_dis[24] + NET gpio_inp_dis[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4601960 ) N ; - - gpio_oeb[24] + NET gpio_oeb[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4564700 ) N ; - - gpio_out[24] + NET gpio_out[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4580340 ) N ; - - gpio_slow_sel[24] + NET gpio_slow_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4626340 ) N ; - - gpio_vtrip_sel[24] + NET gpio_vtrip_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4571140 ) N ; - - gpio_in[24] + NET gpio_in[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4635540 ) N ; - - gpio_in_h[24] + NET gpio_in_h[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4561940 ) N ; - - analog_io[24] + NET analog_io[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4623120 ) N ; - - analog_noesd_io[24] + NET analog_noesd_io[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4613920 ) N ; - - por_l + NET por_l - + PORT - + LAYER met3 ( -847.5 -175 ) ( 847.5 175 ) + PLACED ( -567.5 267035 ) N ; - - porb_l + NET porb_l - + PORT - + LAYER met3 ( -847.5 -175 ) ( 847.5 175 ) + PLACED ( -567.5 268150 ) N ; - - porb_h + NET porb_h - + PORT - + LAYER met3 ( -847.5 -175 ) ( 847.5 175 ) + PLACED ( -567.5 265910 ) N ; - - gpio_analog_en[25] + NET gpio_analog_en[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3762160 ) N ; - - gpio_analog_pol[25] + NET gpio_analog_pol[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3755720 ) N ; - - gpio_analog_sel[25] + NET gpio_analog_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3740540 ) N ; - - gpio_dm0[25] + NET gpio_dm0[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3758940 ) N ; - - gpio_dm1[25] + NET gpio_dm1[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3768140 ) N ; - - gpio_dm2[25] + NET gpio_dm2[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3737320 ) N ; - - gpio_holdover[25] + NET gpio_holdover[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3734100 ) N ; - - gpio_ib_mode_sel[25] + NET gpio_ib_mode_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3718920 ) N ; - - gpio_inp_dis[25] + NET gpio_inp_dis[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3752960 ) N ; - - gpio_oeb[25] + NET gpio_oeb[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3715700 ) N ; - - gpio_out[25] + NET gpio_out[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3731340 ) N ; - - gpio_slow_sel[25] + NET gpio_slow_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3777340 ) N ; - - gpio_in[25] + NET gpio_in[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3786540 ) N ; - - gpio_dm0[30] + NET gpio_dm0[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2678940 ) N ; - - gpio_dm1[30] + NET gpio_dm1[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2688140 ) N ; - - gpio_dm2[30] + NET gpio_dm2[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2657320 ) N ; - - gpio_holdover[30] + NET gpio_holdover[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2654100 ) N ; - - gpio_ib_mode_sel[30] + NET gpio_ib_mode_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2638920 ) N ; - - gpio_inp_dis[30] + NET gpio_inp_dis[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2672960 ) N ; - - gpio_oeb[30] + NET gpio_oeb[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2635700 ) N ; - - gpio_out[30] + NET gpio_out[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2651340 ) N ; - - gpio_slow_sel[30] + NET gpio_slow_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2697340 ) N ; - - gpio_vtrip_sel[30] + NET gpio_vtrip_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2642140 ) N ; - - gpio_in[30] + NET gpio_in[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2706540 ) N ; - - gpio_analog_en[31] + NET gpio_analog_en[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2466160 ) N ; - - gpio_analog_pol[31] + NET gpio_analog_pol[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2459720 ) N ; - - gpio_analog_sel[31] + NET gpio_analog_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2444540 ) N ; - - gpio_dm0[31] + NET gpio_dm0[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2462940 ) N ; - - gpio_dm1[31] + NET gpio_dm1[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2472140 ) N ; - - gpio_dm2[31] + NET gpio_dm2[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2441320 ) N ; - - gpio_holdover[31] + NET gpio_holdover[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2438100 ) N ; - - gpio_ib_mode_sel[31] + NET gpio_ib_mode_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2422920 ) N ; - - gpio_inp_dis[31] + NET gpio_inp_dis[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2456960 ) N ; - - gpio_oeb[31] + NET gpio_oeb[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2419700 ) N ; - - gpio_out[31] + NET gpio_out[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2435340 ) N ; - - gpio_slow_sel[31] + NET gpio_slow_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2481340 ) N ; - - gpio_vtrip_sel[31] + NET gpio_vtrip_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2426140 ) N ; - - gpio_in[31] + NET gpio_in[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2490540 ) N ; - - gpio_analog_en[26] + NET gpio_analog_en[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3546160 ) N ; - - gpio_analog_pol[26] + NET gpio_analog_pol[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3539720 ) N ; - - gpio_analog_sel[26] + NET gpio_analog_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3524540 ) N ; - - gpio_dm0[26] + NET gpio_dm0[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3542940 ) N ; - - gpio_dm1[26] + NET gpio_dm1[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3552140 ) N ; - - gpio_dm2[26] + NET gpio_dm2[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3521320 ) N ; - - gpio_holdover[26] + NET gpio_holdover[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3518100 ) N ; - - gpio_ib_mode_sel[26] + NET gpio_ib_mode_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3502920 ) N ; - - gpio_inp_dis[26] + NET gpio_inp_dis[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3536960 ) N ; - - gpio_oeb[26] + NET gpio_oeb[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3499700 ) N ; - - gpio_out[26] + NET gpio_out[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3515340 ) N ; - - gpio_slow_sel[26] + NET gpio_slow_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3561340 ) N ; - - gpio_vtrip_sel[26] + NET gpio_vtrip_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3506140 ) N ; - - gpio_in[26] + NET gpio_in[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3570540 ) N ; - - gpio_analog_en[27] + NET gpio_analog_en[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3330160 ) N ; - - gpio_analog_pol[27] + NET gpio_analog_pol[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3323720 ) N ; - - gpio_analog_sel[27] + NET gpio_analog_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3308540 ) N ; - - gpio_dm0[27] + NET gpio_dm0[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3326940 ) N ; - - gpio_dm1[27] + NET gpio_dm1[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3336140 ) N ; - - gpio_dm2[27] + NET gpio_dm2[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3305320 ) N ; - - gpio_holdover[27] + NET gpio_holdover[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3302100 ) N ; - - gpio_ib_mode_sel[27] + NET gpio_ib_mode_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3286920 ) N ; - - gpio_inp_dis[27] + NET gpio_inp_dis[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3320960 ) N ; - - gpio_oeb[27] + NET gpio_oeb[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3283700 ) N ; - - gpio_out[27] + NET gpio_out[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3299340 ) N ; - - gpio_slow_sel[27] + NET gpio_slow_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3345340 ) N ; - - gpio_vtrip_sel[27] + NET gpio_vtrip_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3290140 ) N ; - - gpio_in[27] + NET gpio_in[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3354540 ) N ; - - gpio_analog_en[28] + NET gpio_analog_en[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3114160 ) N ; - - gpio_analog_pol[28] + NET gpio_analog_pol[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3107720 ) N ; - - gpio_analog_sel[28] + NET gpio_analog_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3092540 ) N ; - - gpio_dm0[28] + NET gpio_dm0[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3110940 ) N ; - - gpio_dm1[28] + NET gpio_dm1[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3120140 ) N ; - - gpio_dm2[28] + NET gpio_dm2[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3089320 ) N ; - - gpio_holdover[28] + NET gpio_holdover[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3086100 ) N ; - - gpio_ib_mode_sel[28] + NET gpio_ib_mode_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3070920 ) N ; - - gpio_inp_dis[28] + NET gpio_inp_dis[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3104960 ) N ; - - gpio_oeb[28] + NET gpio_oeb[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3067700 ) N ; - - gpio_out[28] + NET gpio_out[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3083340 ) N ; - - gpio_slow_sel[28] + NET gpio_slow_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3129340 ) N ; - - gpio_vtrip_sel[28] + NET gpio_vtrip_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3074140 ) N ; - - gpio_in[28] + NET gpio_in[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3138540 ) N ; - - gpio_analog_en[29] + NET gpio_analog_en[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2898160 ) N ; - - gpio_analog_pol[29] + NET gpio_analog_pol[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2891720 ) N ; - - gpio_analog_sel[29] + NET gpio_analog_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2876540 ) N ; - - gpio_dm0[29] + NET gpio_dm0[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2894940 ) N ; - - gpio_dm1[29] + NET gpio_dm1[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2904140 ) N ; - - gpio_dm2[29] + NET gpio_dm2[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2873320 ) N ; - - gpio_holdover[29] + NET gpio_holdover[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2870100 ) N ; - - gpio_ib_mode_sel[29] + NET gpio_ib_mode_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2854920 ) N ; - - gpio_inp_dis[29] + NET gpio_inp_dis[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2888960 ) N ; - - gpio_oeb[29] + NET gpio_oeb[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2851700 ) N ; - - gpio_out[29] + NET gpio_out[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2867340 ) N ; - - gpio_slow_sel[29] + NET gpio_slow_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2913340 ) N ; - - gpio_vtrip_sel[29] + NET gpio_vtrip_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2858140 ) N ; - - gpio_in[29] + NET gpio_in[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2922540 ) N ; - - gpio_analog_en[30] + NET gpio_analog_en[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2682160 ) N ; - - gpio_analog_pol[30] + NET gpio_analog_pol[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2675720 ) N ; - - gpio_analog_sel[30] + NET gpio_analog_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2660540 ) N ; - - gpio_dm1[36] + NET gpio_dm1[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 970142.5 ) N ; - - gpio_dm2[36] + NET gpio_dm2[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 939322.5 ) N ; - - gpio_holdover[36] + NET gpio_holdover[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 936102.5 ) N ; - - gpio_ib_mode_sel[36] + NET gpio_ib_mode_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 920922.5 ) N ; - - gpio_inp_dis[36] + NET gpio_inp_dis[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 954962.5 ) N ; - - gpio_oeb[36] + NET gpio_oeb[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 917702.5 ) N ; - - gpio_out[36] + NET gpio_out[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 933342.5 ) N ; - - gpio_slow_sel[36] + NET gpio_slow_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 979342.5 ) N ; - - gpio_vtrip_sel[36] + NET gpio_vtrip_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 924142.5 ) N ; - - gpio_in[36] + NET gpio_in[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 988542.5 ) N ; - - gpio_analog_en[37] + NET gpio_analog_en[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 748162.5 ) N ; - - gpio_analog_pol[37] + NET gpio_analog_pol[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 741722.5 ) N ; - - gpio_analog_sel[37] + NET gpio_analog_sel[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 726542.5 ) N ; - - gpio_dm0[37] + NET gpio_dm0[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 744942.5 ) N ; - - gpio_dm1[37] + NET gpio_dm1[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 754142.5 ) N ; - - gpio_dm2[37] + NET gpio_dm2[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 723322.5 ) N ; - - gpio_holdover[37] + NET gpio_holdover[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 720102.5 ) N ; - - gpio_ib_mode_sel[37] + NET gpio_ib_mode_sel[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 704922.5 ) N ; - - gpio_oeb[37] + NET gpio_oeb[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 701702.5 ) N ; - - gpio_out[37] + NET gpio_out[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 717342.5 ) N ; - - gpio_slow_sel[37] + NET gpio_slow_sel[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 763342.5 ) N ; - - gpio_in[37] + NET gpio_in[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 772542.5 ) N ; - - gpio_analog_en[32] + NET gpio_analog_en[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1828160 ) N ; - - gpio_analog_pol[32] + NET gpio_analog_pol[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1821720 ) N ; - - gpio_analog_sel[32] + NET gpio_analog_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1806540 ) N ; - - gpio_dm0[32] + NET gpio_dm0[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1824940 ) N ; - - gpio_dm1[32] + NET gpio_dm1[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1834140 ) N ; - - gpio_dm2[32] + NET gpio_dm2[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1803320 ) N ; - - gpio_holdover[32] + NET gpio_holdover[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1800100 ) N ; - - gpio_ib_mode_sel[32] + NET gpio_ib_mode_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1784920 ) N ; - - gpio_inp_dis[32] + NET gpio_inp_dis[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1818960 ) N ; - - gpio_oeb[32] + NET gpio_oeb[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1781700 ) N ; - - gpio_out[32] + NET gpio_out[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1797340 ) N ; - - gpio_slow_sel[32] + NET gpio_slow_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1843340 ) N ; - - gpio_vtrip_sel[32] + NET gpio_vtrip_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1788140 ) N ; - - gpio_in[32] + NET gpio_in[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1852540 ) N ; - - gpio_analog_en[33] + NET gpio_analog_en[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1612160 ) N ; - - gpio_analog_sel[33] + NET gpio_analog_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1590540 ) N ; - - gpio_dm1[33] + NET gpio_dm1[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1618140 ) N ; - - gpio_dm2[33] + NET gpio_dm2[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1587320 ) N ; - - gpio_dm0[33] + NET gpio_dm0[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1608940 ) N ; - - gpio_holdover[33] + NET gpio_holdover[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1584100 ) N ; - - gpio_ib_mode_sel[33] + NET gpio_ib_mode_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1568920 ) N ; - - gpio_inp_dis[33] + NET gpio_inp_dis[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1602960 ) N ; - - gpio_oeb[33] + NET gpio_oeb[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1565700 ) N ; - - gpio_out[33] + NET gpio_out[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1581340 ) N ; - - gpio_slow_sel[33] + NET gpio_slow_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1627340 ) N ; - - gpio_vtrip_sel[33] + NET gpio_vtrip_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1572140 ) N ; - - gpio_in[33] + NET gpio_in[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1636540 ) N ; - - gpio_analog_en[34] + NET gpio_analog_en[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1396160 ) N ; - - gpio_analog_pol[34] + NET gpio_analog_pol[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1389720 ) N ; - - gpio_analog_sel[34] + NET gpio_analog_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1374540 ) N ; - - gpio_dm0[34] + NET gpio_dm0[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1392940 ) N ; - - gpio_dm1[34] + NET gpio_dm1[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1402140 ) N ; - - gpio_dm2[34] + NET gpio_dm2[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1371320 ) N ; - - gpio_holdover[34] + NET gpio_holdover[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1368100 ) N ; - - gpio_ib_mode_sel[34] + NET gpio_ib_mode_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1352920 ) N ; - - gpio_inp_dis[34] + NET gpio_inp_dis[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1386960 ) N ; - - gpio_oeb[34] + NET gpio_oeb[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1349700 ) N ; - - gpio_out[34] + NET gpio_out[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1365340 ) N ; - - gpio_slow_sel[34] + NET gpio_slow_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1411340 ) N ; - - gpio_vtrip_sel[34] + NET gpio_vtrip_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1356140 ) N ; - - gpio_in[34] + NET gpio_in[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1420540 ) N ; - - gpio_analog_en[35] + NET gpio_analog_en[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1180160 ) N ; - - gpio_analog_pol[35] + NET gpio_analog_pol[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1173720 ) N ; - - gpio_analog_sel[35] + NET gpio_analog_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1158540 ) N ; - - gpio_dm0[35] + NET gpio_dm0[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1176940 ) N ; - - gpio_dm1[35] + NET gpio_dm1[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1186140 ) N ; - - gpio_dm2[35] + NET gpio_dm2[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1155320 ) N ; - - gpio_holdover[35] + NET gpio_holdover[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1152100 ) N ; - - gpio_ib_mode_sel[35] + NET gpio_ib_mode_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1136920 ) N ; - - gpio_inp_dis[35] + NET gpio_inp_dis[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1170960 ) N ; - - gpio_oeb[35] + NET gpio_oeb[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1133700 ) N ; - - gpio_out[35] + NET gpio_out[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1149340 ) N ; - - gpio_slow_sel[35] + NET gpio_slow_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1195340 ) N ; - - gpio_vtrip_sel[35] + NET gpio_vtrip_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1140140 ) N ; - - gpio_in[35] + NET gpio_in[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1204540 ) N ; - - gpio_analog_en[36] + NET gpio_analog_en[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 964162.5 ) N ; - - gpio_analog_pol[36] + NET gpio_analog_pol[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 957722.5 ) N ; - - gpio_analog_sel[36] + NET gpio_analog_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 942542.5 ) N ; - - gpio_dm0[36] + NET gpio_dm0[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 960942.5 ) N ; - - gpio_inp_dis[37] + NET gpio_inp_dis[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 738962.5 ) N ; - - gpio_in_h[25] + NET gpio_in_h[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3712940 ) N ; - - gpio_in_h[26] + NET gpio_in_h[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3496940 ) N ; - - gpio_in_h[27] + NET gpio_in_h[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3280940 ) N ; - - gpio_in_h[28] + NET gpio_in_h[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3064940 ) N ; - - gpio_in_h[29] + NET gpio_in_h[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2848940 ) N ; - - gpio_in_h[30] + NET gpio_in_h[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2632940 ) N ; - - gpio_in_h[31] + NET gpio_in_h[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2416940 ) N ; - - gpio_in_h[32] + NET gpio_in_h[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1778940 ) N ; - - gpio_in_h[33] + NET gpio_in_h[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1562940 ) N ; - - gpio_in_h[34] + NET gpio_in_h[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1346940 ) N ; - - gpio_in_h[35] + NET gpio_in_h[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1130940 ) N ; - - gpio_in_h[36] + NET gpio_in_h[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 914942.5 ) N ; - - gpio_in_h[37] + NET gpio_in_h[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 698942.5 ) N ; - - analog_io[25] + NET analog_io[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3774120 ) N ; - - analog_io[26] + NET analog_io[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3558120 ) N ; - - analog_io[27] + NET analog_io[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3342120 ) N ; - - analog_io[28] + NET analog_io[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3126120 ) N ; - - analog_io[29] + NET analog_io[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2910120 ) N ; - - analog_io[30] + NET analog_io[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2694120 ) N ; - - analog_io[31] + NET analog_io[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2478120 ) N ; - - analog_io[32] + NET analog_io[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1840120 ) N ; - - analog_io[33] + NET analog_io[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1624120 ) N ; - - analog_io[34] + NET analog_io[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1408120 ) N ; - - analog_io[35] + NET analog_io[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1192120 ) N ; - - analog_io[36] + NET analog_io[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 976122.5 ) N ; - - analog_io[37] + NET analog_io[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 760122.5 ) N ; - - analog_noesd_io[25] + NET analog_noesd_io[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3764920 ) N ; - - analog_noesd_io[26] + NET analog_noesd_io[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3548920 ) N ; - - analog_noesd_io[27] + NET analog_noesd_io[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3332920 ) N ; - - analog_noesd_io[28] + NET analog_noesd_io[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3116920 ) N ; - - analog_noesd_io[29] + NET analog_noesd_io[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2900920 ) N ; - - analog_noesd_io[30] + NET analog_noesd_io[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2684920 ) N ; - - analog_noesd_io[31] + NET analog_noesd_io[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2468920 ) N ; - - analog_noesd_io[32] + NET analog_noesd_io[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1830920 ) N ; - - analog_noesd_io[33] + NET analog_noesd_io[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1614920 ) N ; - - analog_noesd_io[34] + NET analog_noesd_io[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1398920 ) N ; - - analog_noesd_io[35] + NET analog_noesd_io[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1182920 ) N ; - - analog_noesd_io[36] + NET analog_noesd_io[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 966922.5 ) N ; - - analog_noesd_io[37] + NET analog_noesd_io[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 750922.5 ) N ; - - gpio_vtrip_sel[25] + NET gpio_vtrip_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3722140 ) N ; - - gpio_analog_pol[33] + NET gpio_analog_pol[33] - + PORT - + LAYER met3 ( -1200 -140 ) ( 1200 140 ) + PLACED ( -920 1605720 ) N ; - - gpio_loopback_one[3] + NET gpio_loopback_one[3] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1057180 ) N ; - - gpio_loopback_zero[3] + NET gpio_loopback_zero[3] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1067190 ) N ; - - gpio_loopback_one[4] + NET gpio_loopback_one[4] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1282180 ) N ; - - gpio_loopback_zero[4] + NET gpio_loopback_zero[4] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1292190 ) N ; - - gpio_loopback_one[5] + NET gpio_loopback_one[5] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1507180 ) N ; - - gpio_loopback_zero[5] + NET gpio_loopback_zero[5] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1517190 ) N ; - - gpio_loopback_one[6] + NET gpio_loopback_one[6] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1733180 ) N ; - - gpio_loopback_zero[6] + NET gpio_loopback_zero[6] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1743190 ) N ; - - gpio_loopback_one[7] + NET gpio_loopback_one[7] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2619180 ) N ; - - gpio_loopback_zero[7] + NET gpio_loopback_zero[7] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2629190 ) N ; - - gpio_loopback_one[8] + NET gpio_loopback_one[8] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2845180 ) N ; - - gpio_loopback_zero[8] + NET gpio_loopback_zero[8] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2855190 ) N ; - - gpio_loopback_one[9] + NET gpio_loopback_one[9] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3070180 ) N ; - - gpio_loopback_zero[9] + NET gpio_loopback_zero[9] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3080190 ) N ; - - gpio_loopback_one[10] + NET gpio_loopback_one[10] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3296180 ) N ; - - gpio_loopback_zero[10] + NET gpio_loopback_zero[10] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3306190 ) N ; - - gpio_loopback_one[11] + NET gpio_loopback_one[11] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3521180 ) N ; - - gpio_loopback_zero[11] + NET gpio_loopback_zero[11] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3531190 ) N ; - - gpio_loopback_one[12] + NET gpio_loopback_one[12] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3746180 ) N ; - - gpio_loopback_zero[12] + NET gpio_loopback_zero[12] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3756190 ) N ; - - gpio_loopback_one[13] + NET gpio_loopback_one[13] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4192180 ) N ; - - gpio_loopback_zero[13] + NET gpio_loopback_zero[13] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4202190 ) N ; - - gpio_loopback_one[14] + NET gpio_loopback_one[14] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4638180 ) N ; - - gpio_loopback_zero[14] + NET gpio_loopback_zero[14] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4648190 ) N ; - - gpio_loopback_one[15] + NET gpio_loopback_one[15] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2912642.5 4767150 ) N ; - - gpio_loopback_zero[15] + NET gpio_loopback_zero[15] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2902637.5 4767150 ) N ; - - VPWR + NET VPWR - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER met1 ( -130 -77.5 ) ( 130 77.5 ) + PLACED ( 2903185 4768517.5 ) N ; - - VPB + NET VPB - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER nwell ( -85 -45 ) ( 85 45 ) + PLACED ( 2903175 4768525 ) N ; - - VPWR + NET VPWR - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER met1 ( -460 -240 ) ( 460 240 ) + PLACED ( 2903410 4768525 ) N ; - - VPB + NET VPB - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER nwell ( -85 -85 ) ( 85 85 ) + PLACED ( 2904105 4768525 ) N ; - - VPWR + NET VPWR - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER met1 ( -85 -85 ) ( 85 85 ) + PLACED ( 2904105 4768525 ) N ; - - gpio_loopback_one[16] + NET gpio_loopback_one[16] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2403642.5 4767150 ) N ; - - gpio_loopback_zero[16] + NET gpio_loopback_zero[16] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2393637.5 4767150 ) N ; - - gpio_loopback_one[17] + NET gpio_loopback_one[17] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2146642.5 4767150 ) N ; - - gpio_loopback_zero[17] + NET gpio_loopback_zero[17] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2136637.5 4767150 ) N ; - - gpio_loopback_one[18] + NET gpio_loopback_one[18] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1701642.5 4767150 ) N ; - - gpio_loopback_zero[18] + NET gpio_loopback_zero[18] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1691637.5 4767150 ) N ; - - gpio_loopback_one[19] + NET gpio_loopback_one[19] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1192642.5 4767150 ) N ; - - gpio_loopback_zero[19] + NET gpio_loopback_zero[19] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1182637.5 4767150 ) N ; - - gpio_loopback_one[20] + NET gpio_loopback_one[20] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 934642.5 4767150 ) N ; - - gpio_loopback_zero[20] + NET gpio_loopback_zero[20] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 924637.5 4767150 ) N ; - - gpio_loopback_zero[21] + NET gpio_loopback_zero[21] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 667637.5 4767150 ) N ; - - gpio_loopback_one[21] + NET gpio_loopback_one[21] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 677642.5 4767150 ) N ; - - gpio_loopback_zero[22] + NET gpio_loopback_zero[22] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 410637.5 4767150 ) N ; - - gpio_loopback_one[22] + NET gpio_loopback_one[22] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 420642.5 4767150 ) N ; - - gpio_loopback_zero[23] + NET gpio_loopback_zero[23] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 153637.5 4767150 ) N ; - - gpio_loopback_one[23] + NET gpio_loopback_one[23] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 163642.5 4767150 ) N ; - - gpio_loopback_one[24] + NET gpio_loopback_one[24] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 4549370 ) N ; - - gpio_loopback_zero[24] + NET gpio_loopback_zero[24] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 4539370 ) N ; - - gpio_loopback_one[25] + NET gpio_loopback_one[25] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3700370 ) N ; - - gpio_loopback_zero[25] + NET gpio_loopback_zero[25] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3690370 ) N ; - - gpio_loopback_zero[26] + NET gpio_loopback_zero[26] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3474370 ) N ; - - gpio_loopback_one[26] + NET gpio_loopback_one[26] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3484370 ) N ; - - gpio_loopback_zero[27] + NET gpio_loopback_zero[27] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3258370 ) N ; - - gpio_loopback_one[27] + NET gpio_loopback_one[27] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3268370 ) N ; - - gpio_loopback_zero[28] + NET gpio_loopback_zero[28] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3042370 ) N ; - - gpio_loopback_one[28] + NET gpio_loopback_one[28] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3052370 ) N ; - - gpio_loopback_zero[29] + NET gpio_loopback_zero[29] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2826370 ) N ; - - gpio_loopback_one[29] + NET gpio_loopback_one[29] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2836370 ) N ; - - gpio_loopback_zero[30] + NET gpio_loopback_zero[30] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2610370 ) N ; - - gpio_loopback_one[30] + NET gpio_loopback_one[30] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2620370 ) N ; - - gpio_loopback_zero[31] + NET gpio_loopback_zero[31] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2394370 ) N ; - - gpio_loopback_one[31] + NET gpio_loopback_one[31] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2404370 ) N ; - - gpio_loopback_zero[32] + NET gpio_loopback_zero[32] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1756370 ) N ; - - gpio_loopback_one[32] + NET gpio_loopback_one[32] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1766370 ) N ; - - gpio_loopback_zero[33] + NET gpio_loopback_zero[33] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1540370 ) N ; - - gpio_loopback_one[33] + NET gpio_loopback_one[33] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1550370 ) N ; - - gpio_loopback_zero[34] + NET gpio_loopback_zero[34] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1324370 ) N ; - - gpio_loopback_one[34] + NET gpio_loopback_one[34] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1334370 ) N ; - - gpio_loopback_zero[35] + NET gpio_loopback_zero[35] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1108370 ) N ; - - gpio_loopback_one[35] + NET gpio_loopback_one[35] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1118370 ) N ; - - gpio_loopback_zero[36] + NET gpio_loopback_zero[36] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 892370 ) N ; - - gpio_loopback_one[36] + NET gpio_loopback_one[36] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 902370 ) N ; - - gpio_loopback_zero[37] + NET gpio_loopback_zero[37] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 676370 ) N ; - - gpio_loopback_one[37] + NET gpio_loopback_one[37] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 686370 ) N ; -END PINS - -END DESIGN - diff --git a/openlane/openframe_project_wrapper/macro.cfg b/openlane/openframe_project_wrapper/macro.cfg index 6f21c98..d80854a 100644 --- a/openlane/openframe_project_wrapper/macro.cfg +++ b/openlane/openframe_project_wrapper/macro.cfg @@ -1,2 +1,3 @@ -openframe_example.soc_mem.mem.SRAM_0 100 15 N -openframe_example.soc_mem.mem.SRAM_1 1100 15 N +openframe_example 550 1990 N +vccd1_connection 3122.515 4327.515 N +vssd1_connection 3122.515 2088.515 N diff --git a/openlane/openframe_project_wrapper/pdn_cfg.tcl b/openlane/openframe_project_wrapper/pdn_cfg.tcl new file mode 100644 index 0000000..b93ba9f --- /dev/null +++ b/openlane/openframe_project_wrapper/pdn_cfg.tcl @@ -0,0 +1,128 @@ +# Copyright 2020-2022 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + +source $::env(SCRIPTS_DIR)/openroad/common/set_global_connections.tcl +set_global_connections + +set secondary [] +foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) { + if { $vdd != $::env(VDD_NET)} { + lappend secondary $vdd + + set db_net [[ord::get_db_block] findNet $vdd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $vdd] + $net setSpecial + $net setSigType "POWER" + } + } + + if { $gnd != $::env(GND_NET)} { + lappend secondary $gnd + + set db_net [[ord::get_db_block] findNet $gnd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $gnd] + $net setSpecial + $net setSigType "GROUND" + } + } +} + +set_voltage_domain -name CORE -power $::env(VDD_NET) -ground $::env(GND_NET) \ + -secondary_power $secondary + +# Assesses whether the design is the core of the chip or not based on the +# value of $::env(DESIGN_IS_CORE) and uses the appropriate stdcell section +if { $::env(DESIGN_IS_CORE) == 1 } { + # Used if the design is the core of the chip + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -spacing $::env(FP_PDN_VSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_HORIZONTAL_LAYER) \ + -width $::env(FP_PDN_HWIDTH) \ + -pitch $::env(FP_PDN_HPITCH) \ + -offset $::env(FP_PDN_HOFFSET) \ + -spacing $::env(FP_PDN_HSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" +} else { + # Used if the design is a macro in the core + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins $::env(FP_PDN_VERTICAL_LAYER) + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -starts_with POWER +} + +# Adds the standard cell rails if enabled. +if { $::env(FP_PDN_ENABLE_RAILS) == 1 } { + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_RAIL_LAYER) \ + -width $::env(FP_PDN_RAIL_WIDTH) \ + -followpins \ + -starts_with POWER + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_RAIL_LAYER) $::env(FP_PDN_VERTICAL_LAYER)" +} + + +# Adds the core ring if enabled. +if { $::env(FP_PDN_CORE_RING) == 1 } { + add_pdn_ring \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" \ + -widths "$::env(FP_PDN_CORE_RING_VWIDTH) $::env(FP_PDN_CORE_RING_HWIDTH)" \ + -spacings "$::env(FP_PDN_CORE_RING_VSPACING) $::env(FP_PDN_CORE_RING_HSPACING)" \ + -core_offset "$::env(FP_PDN_CORE_RING_VOFFSET) $::env(FP_PDN_CORE_RING_HOFFSET)" +} + +define_pdn_grid \ + -macro \ + -default \ + -name macro \ + -starts_with POWER \ + -halo "$::env(FP_PDN_HORIZONTAL_HALO) $::env(FP_PDN_VERTICAL_HALO)" + +add_pdn_connect \ + -grid macro \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" diff --git a/openlane/openframe_project_wrapper/signoff.sdc b/openlane/openframe_project_wrapper/signoff.sdc new file mode 100644 index 0000000..daf65b6 --- /dev/null +++ b/openlane/openframe_project_wrapper/signoff.sdc @@ -0,0 +1,60 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name dll_clk -period 6.666 [get_pins {openframe_example/dll/clockp[1]}] +create_clock -name dll_clk90 -period 6.666 [get_pins {openframe_example/dll/clockp[0]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}]\ + -group [get_clocks {dll_clk}]\ + -group [get_clocks {dll_clk90}] + +set_clock_uncertainty 0.1 [all_clocks] +set_propagated_clock [all_clocks] + +## INPUT/OUTPUT DELAYS +set input_delay_value 4 +set output_delay_value 20 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +# set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +# set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout 20 [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] +set_false_path -from [get_ports {gpio_in[38]}] -to [get_pins {openframe_example/_34238_/D}] + +# add loads for output ports (pads) +set min_cap 0.04 +set max_cap 0.04 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.05 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans 1.5 [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/picosoc/base.sdc b/openlane/picosoc/base.sdc new file mode 100644 index 0000000..2944b49 --- /dev/null +++ b/openlane/picosoc/base.sdc @@ -0,0 +1,57 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] +create_generated_clock -name spi_master -source [get_ports {gpio_in[38]}] -divide_by 2 [get_pins -of_objects {simple_spi_master_inst.spi_master.hsck} -filter lib_pin_name==Q] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}] + +set_clock_uncertainty 1.0 [all_clocks] +set_propagated_clock [all_clocks] +# remove_propagated_clock [get_pins {_30799_/A1}] + +## INPUT/OUTPUT DELAYS +set input_delay_value 0 +set output_delay_value 22 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout $::env(MAX_FANOUT_CONSTRAINT) [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] + +# add loads for output ports (pads) +set min_cap 0.5 +set max_cap 1.0 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.15 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans $::env(MAX_TRANSITION_CONSTRAINT) [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/picosoc/base_2.sdc b/openlane/picosoc/base_2.sdc new file mode 100644 index 0000000..69b3078 --- /dev/null +++ b/openlane/picosoc/base_2.sdc @@ -0,0 +1,65 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name dll_clk -period 4.0 [get_pins {dll/clockp[1]}] +create_clock -name dll_clk90 -period 4.0 [get_pins {dll/clockp[0]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] +create_generated_clock -name spi_master -source [get_ports {gpio_in[38]}] -divide_by 2 [get_pins -of_objects {simple_spi_master_inst.spi_master.hsck} -filter lib_pin_name==Q] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}]\ + -group [get_clocks {dll_clk}]\ + -group [get_clocks {dll_clk90}] + +set_clock_uncertainty 0.8 [get_clocks {clk}] +set_clock_uncertainty 0.5 [get_clocks {clk_hkspi_sck}] +set_clock_uncertainty 0.3 [get_clocks {dll_clk}] +set_clock_uncertainty 0.3 [get_clocks {dll_clk90}] + +set_propagated_clock [all_clocks] +# remove_propagated_clock [get_pins {_30799_/A1}] + +## INPUT/OUTPUT DELAYS +set input_delay_value 0 +set output_delay_value 22 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout $::env(MAX_FANOUT_CONSTRAINT) [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] + +# add loads for output ports (pads) +set min_cap 0.5 +set max_cap 1.0 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.15 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans $::env(MAX_TRANSITION_CONSTRAINT) [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/picosoc/config.json b/openlane/picosoc/config.json new file mode 100644 index 0000000..e4fde80 --- /dev/null +++ b/openlane/picosoc/config.json @@ -0,0 +1,97 @@ +{ + "DESIGN_NAME": "picosoc", + "VERILOG_FILES": [ + "dir::../../verilog/rtl/openframe_project_netlists.v", + "dir::../../verilog/rtl/picosoc.v", + "dir::../../verilog/rtl/picorv32.v", + "dir::../../verilog/rtl/spimemio.v", + "dir::../../verilog/rtl/simpleuart.v", + "dir::../../verilog/rtl/clock_div.v", + "dir::../../verilog/rtl/clock_routing.v", + "dir::../../verilog/rtl/intercon_wb.v", + "dir::../../verilog/rtl/housekeeping.v", + "dir::../../verilog/rtl/simple_spi_master.v", + "dir::../../verilog/rtl/counter_timer_high.v", + "dir::../../verilog/rtl/counter_timer_low.v", + "dir::../../verilog/rtl/mem_wb.v", + "dir::../../verilog/rtl/gpio_wb.v", + "dir::../../verilog/rtl/gpio_vector_wb.v", + "dir::../../verilog/rtl/debug_regs.v" + ], + "QUIT_ON_LINTER_ERRORS": false, + "QUIT_ON_SYNTH_CHECKS": false, + "SYNTH_READ_BLACKBOX_LIB": 1, + "SYNTH_STRATEGY": "DELAY 0", + "MAX_TRANSITION_CONSTRAINT": 0.8, + "MAX_FANOUT_CONSTRAINT": 16, + "ROUTING_CORES": 16, + "CLOCK_PERIOD": 25, + "MACRO_PLACEMENT_CFG": "dir::macro.cfg", + "MAGIC_DEF_LABELS": 0, + "VERILOG_FILES_BLACKBOX": [ + "dir::sky130_sram_2kbyte_1rw1r_32x512_8.v", + "dir::../../verilog/gl/digital_locked_loop.v" + ], + "EXTRA_LEFS": ["pdk_dir::libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef", + "dir::../../lef/digital_locked_loop.lef" + ], + "EXTRA_GDS_FILES": ["pdk_dir::libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds", + "dir::../../gds/digital_locked_loop.gds" + ], + "EXTRA_LIBS": ["pdk_dir::libs.ref/sky130_sram_macros/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib", + "dir::../../lib/digital_locked_loop.lib" + ], + "EXTRA_SPEFS": [ + "digital_locked_loop", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.min.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.nom.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.max.spef" + ], + "FP_PDN_CHECK_NODES": 0, + "SYNTH_USE_PG_PINS_DEFINES": "USE_POWER_PINS", + "PL_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_BUFFER_INPUT_PORTS": 1, + "PL_RESIZER_MAX_WIRE_LENGTH": 500, + "FP_PDN_ENABLE_RAILS": 1, + "FP_PDN_VPITCH": 40, + "GRT_REPAIR_ANTENNAS": 1, + "RUN_HEURISTIC_DIODE_INSERTION": 1, + "GRT_ANT_MARGIN": 20, + "HEURISTIC_ANTENNA_THRESHOLD": 80, + "FP_PDN_HPITCH": 40, + "FP_PDN_VOFFSET": 5, + "FP_PDN_HOFFSET": 5, + "MAGIC_ZEROIZE_ORIGIN": 0, + "FP_SIZING": "absolute", + "RUN_CVC": 0, + "UNIT": 2.4, + "FP_IO_MODE": 1, + "FP_IO_VLENGTH": "expr::$UNIT", + "FP_IO_HLENGTH": "expr::$UNIT", + "FP_PDN_CORE_RING": false, + "FP_PDN_VWIDTH": 3.1, + "FP_PDN_HWIDTH": 3.1, + "FP_PDN_VSPACING": 2, + "FP_PDN_HSPACING": 2, + "FP_PDN_CFG": "dir::pdn_cfg.tcl", + "FP_PDN_MACRO_HOOKS": "soc_mem\\.mem\\.SRAM_0 VPWR VGND vccd1 vssd1, soc_mem\\.mem\\.SRAM_1 VPWR VGND vccd1 vssd1, dll VPWR VGND vccd1 vssd1", + "RUN_CTS": 1, + "CTS_CLK_MAX_WIRE_LENGTH": 500, + "CLOCK_PORT": "gpio_in[38]", + "PL_TARGET_DENSITY": 0.28, + "DIE_AREA_WRAPPER": "0 0 3168.82 4768.82", + "DIE_AREA": "0 0 2200 1500", + "RUN_IRDROP_REPORT": 0, + "BASE_SDC_FILE": "dir::base.sdc", + "GRT_ALLOW_CONGESTION": 1, + "SYNTH_BUFFERING": 0, + "RCX_SDC_FILE": "dir::signoff.sdc", + "RUN_MAGIC_DRC": 0, + "FP_PIN_ORDER_CFG": "dir::pin_order.cfg", + "SYNTH_DEFINES": "PnR", + "QUIT_ON_HOLD_VIOLATIONS": 0, + "GRT_ADJUSTMENT": 0.18 +} diff --git a/openlane/picosoc/interactive.tcl b/openlane/picosoc/interactive.tcl new file mode 100644 index 0000000..07d1d45 --- /dev/null +++ b/openlane/picosoc/interactive.tcl @@ -0,0 +1,57 @@ +package require openlane +variable SCRIPT_DIR [file dirname [file normalize [info script]]] + +prep -ignore_mismatches -design $SCRIPT_DIR -tag $::env(OPENLANE_RUN_TAG) -overwrite -verbose 0 + +################ Synthesis ################ +run_synthesis + +################ Floorplan ################ +run_floorplan + +################ placement ################ +run_placement + +################ CTS ################ +run_cts +set ::env(CURRENT_SDC) $::env(DESIGN_DIR)/base_2.sdc +run_resizer_timing + +################ Routing ################ +run_routing + +################ RCX sta ################ +run_parasitics_sta + +################ Antenna check ################ +run_antenna_check + +################ magic ################ +run_magic + +################ LVS ################ +run_magic_spice_export; +run_lvs; + +############### DRC ################ +run_magic_drc + +################ Saving views and reports ################ +save_final_views +save_views -save_path .. -tag $::env(OPENLANE_RUN_TAG) +## + calc_total_runtime + save_state + generate_final_summary_report + check_timing_violations + if { [info exists arg_values(-save_path)]\ + && $arg_values(-save_path) != "" } { + set ::env(HOOK_OUTPUT_PATH) "[file normalize $arg_values(-save_path)]" + } else { + set ::env(HOOK_OUTPUT_PATH) $::env(RESULTS_DIR)/final + } + if {[info exists flags_map(-run_hooks)]} { + run_post_run_hooks + } + puts_success "Flow complete." + show_warnings "Note that the following warnings have been generated:" diff --git a/openlane/picosoc/macro.cfg b/openlane/picosoc/macro.cfg new file mode 100644 index 0000000..b1b4317 --- /dev/null +++ b/openlane/picosoc/macro.cfg @@ -0,0 +1,3 @@ +soc_mem.mem.SRAM_0 99.87 620 N +soc_mem.mem.SRAM_1 1399.87 620 N +dll 1167 700 N \ No newline at end of file diff --git a/openlane/picosoc/mux2_map.v b/openlane/picosoc/mux2_map.v new file mode 100644 index 0000000..08851dc --- /dev/null +++ b/openlane/picosoc/mux2_map.v @@ -0,0 +1,13 @@ +module \$_MUX_ ( + output Y, + input A, + input B, + input S + ); + sky130_fd_sc_hd__mux2_2 _TECHMAP_MUX ( + .X(Y), + .A0(A), + .A1(B), + .S(S) + ); +endmodule \ No newline at end of file diff --git a/openlane/picosoc/pdn_cfg.tcl b/openlane/picosoc/pdn_cfg.tcl new file mode 100644 index 0000000..f3cdf3c --- /dev/null +++ b/openlane/picosoc/pdn_cfg.tcl @@ -0,0 +1,115 @@ +source $::env(SCRIPTS_DIR)/openroad/common/set_global_connections.tcl +set_global_connections + +set secondary [] +foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) { + if { $vdd != $::env(VDD_NET)} { + lappend secondary $vdd + + set db_net [[ord::get_db_block] findNet $vdd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $vdd] + $net setSpecial + $net setSigType "POWER" + } + } + + if { $gnd != $::env(GND_NET)} { + lappend secondary $gnd + + set db_net [[ord::get_db_block] findNet $gnd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $gnd] + $net setSpecial + $net setSigType "GROUND" + } + } +} + +set_voltage_domain -name CORE -power $::env(VDD_NET) -ground $::env(GND_NET) \ + -secondary_power $secondary + +# Assesses whether the design is the core of the chip or not based on the +# value of $::env(DESIGN_IS_CORE) and uses the appropriate stdcell section +if { $::env(DESIGN_IS_CORE) == 1 } { + # Used if the design is the core of the chip + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -spacing $::env(FP_PDN_VSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_HORIZONTAL_LAYER) \ + -width $::env(FP_PDN_HWIDTH) \ + -pitch $::env(FP_PDN_HPITCH) \ + -offset $::env(FP_PDN_HOFFSET) \ + -spacing $::env(FP_PDN_HSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" +} else { + # Used if the design is a macro in the core + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins $::env(FP_PDN_VERTICAL_LAYER) + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -starts_with POWER +} + +# Adds the standard cell rails if enabled. +if { $::env(FP_PDN_ENABLE_RAILS) == 1 } { + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_RAIL_LAYER) \ + -width $::env(FP_PDN_RAIL_WIDTH) \ + -followpins \ + -starts_with POWER + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_RAIL_LAYER) $::env(FP_PDN_VERTICAL_LAYER)" +} + + +# Adds the core ring if enabled. +if { $::env(FP_PDN_CORE_RING) == 1 } { + add_pdn_ring \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" \ + -widths "$::env(FP_PDN_CORE_RING_VWIDTH) $::env(FP_PDN_CORE_RING_HWIDTH)" \ + -spacings "$::env(FP_PDN_CORE_RING_VSPACING) $::env(FP_PDN_CORE_RING_HSPACING)" \ + -core_offset "$::env(FP_PDN_CORE_RING_VOFFSET) $::env(FP_PDN_CORE_RING_HOFFSET)" +} + +define_pdn_grid \ + -macro \ + -default \ + -name macro \ + -starts_with POWER \ + -halo "$::env(FP_PDN_HORIZONTAL_HALO) $::env(FP_PDN_VERTICAL_HALO)" + +add_pdn_connect \ + -grid macro \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" + diff --git a/openlane/openframe_project_wrapper/pin_order.cfg b/openlane/picosoc/pin_order.cfg similarity index 79% rename from openlane/openframe_project_wrapper/pin_order.cfg rename to openlane/picosoc/pin_order.cfg index 640f6ba..182663f 100644 --- a/openlane/openframe_project_wrapper/pin_order.cfg +++ b/openlane/picosoc/pin_order.cfg @@ -1,633 +1,187 @@ #BUS_SORT -#NR - -gpio_in\[23\] -gpio_slow_sel\[23\] -analog_io\[23\] +#N +gpio_loopback_zero\[23\] +gpio_loopback_one\[23\] +gpio_in_h\[23\] +gpio_oeb\[23\] +gpio_ib_mode_sel\[23\] +gpio_vtrip_sel\[23\] +gpio_out\[23\] +gpio_holdover\[23\] +gpio_dm2\[23\] +gpio_anlaog_sel\[23\] +gpio_ieb\[23\] +gpio_analog_pol\[23\] gpio_dm0\[23\] -analog_noesd_io\[23\] gpio_analog_en\[23\] +gpio_noesd_io\[23\] gpio_dm1\[23\] -gpio_analog_pol\[23\] -gpio_inp_dis\[23\] -gpio_analog_sel\[23\] -gpio_dm2\[23\] -gpio_holdover\[23\] -gpio_out\[23\] -gpio_vtrip_sel\[23\] -gpio_ib_mode_sel\[23\] -gpio_oeb\[23\] -gpio_in_h\[23\] -gpio_loopback_one\[23\] -gpio_loopback_zero\[23\] - -gpio_in\[22\] -gpio_slow_sel\[22\] -analog_io\[22\] +analog_io\[23\] +gpio_slow_sel\[23\] +gpio_in\[23\] +gpio_loopback_zero\[22\] +gpio_loopback_one\[22\] +gpio_in_h\[22\] +gpio_oeb\[22\] +gpio_ib_mode_sel\[22\] +gpio_vtrip_sel\[22\] +gpio_out\[22\] +gpio_holdover\[22\] +gpio_dm2\[22\] +gpio_anlaog_sel\[22\] +gpio_ieb\[22\] +gpio_analog_pol\[22\] gpio_dm0\[22\] -analog_noesd_io\[22\] gpio_analog_en\[22\] +gpio_noesd_io\[22\] gpio_dm1\[22\] -gpio_analog_pol\[22\] -gpio_inp_dis\[22\] -gpio_analog_sel\[22\] -gpio_dm2\[22\] -gpio_holdover\[22\] -gpio_out\[22\] -gpio_vtrip_sel\[22\] -gpio_ib_mode_sel\[22\] -gpio_oeb\[22\] -gpio_in_h\[22\] -gpio_loopback_one\[22\] -gpio_loopback_zero\[22\] - -gpio_in\[21\] -gpio_slow_sel\[21\] -analog_io\[21\] +analog_io\[22\] +gpio_slow_sel\[22\] +gpio_in\[22\] +gpio_loopback_zero\[21\] +gpio_loopback_one\[21\] +gpio_in_h\[21\] +gpio_oeb\[21\] +gpio_ib_mode_sel\[21\] +gpio_vtrip_sel\[21\] +gpio_out\[21\] +gpio_holdover\[21\] +gpio_dm2\[21\] +gpio_anlaog_sel\[21\] +gpio_ieb\[21\] +gpio_analog_pol\[21\] gpio_dm0\[21\] -analog_noesd_io\[21\] gpio_analog_en\[21\] +gpio_noesd_io\[21\] gpio_dm1\[21\] -gpio_analog_pol\[21\] -gpio_inp_dis\[21\] -gpio_analog_sel\[21\] -gpio_dm2\[21\] -gpio_holdover\[21\] -gpio_out\[21\] -gpio_vtrip_sel\[21\] -gpio_ib_mode_sel\[21\] -gpio_oeb\[21\] -gpio_in_h\[21\] -gpio_loopback_one\[21\] -gpio_loopback_zero\[21\] - -gpio_in\[20\] -gpio_slow_sel\[20\] -analog_io\[20\] +analog_io\[21\] +gpio_slow_sel\[21\] +gpio_in\[21\] +gpio_loopback_zero\[20\] +gpio_loopback_one\[20\] +gpio_in_h\[20\] +gpio_oeb\[20\] +gpio_ib_mode_sel\[20\] +gpio_vtrip_sel\[20\] +gpio_out\[20\] +gpio_holdover\[20\] +gpio_dm2\[20\] +gpio_anlaog_sel\[20\] +gpio_ieb\[20\] +gpio_analog_pol\[20\] gpio_dm0\[20\] -analog_noesd_io\[20\] gpio_analog_en\[20\] +gpio_noesd_io\[20\] gpio_dm1\[20\] -gpio_analog_pol\[20\] -gpio_inp_dis\[20\] -gpio_analog_sel\[20\] -gpio_dm2\[20\] -gpio_holdover\[20\] -gpio_out\[20\] -gpio_vtrip_sel\[20\] -gpio_ib_mode_sel\[20\] -gpio_oeb\[20\] -gpio_in_h\[20\] -gpio_loopback_one\[20\] -gpio_loopback_zero\[20\] - -gpio_in\[19\] -gpio_slow_sel\[19\] -analog_io\[19\] +analog_io\[20\] +gpio_slow_sel\[20\] +gpio_in\[20\] +gpio_loopback_zero\[19\] +gpio_loopback_one\[19\] +gpio_in_h\[19\] +gpio_oeb\[19\] +gpio_ib_mode_sel\[19\] +gpio_vtrip_sel\[19\] +gpio_out\[19\] +gpio_holdover\[19\] +gpio_dm2\[19\] +gpio_anlaog_sel\[19\] +gpio_ieb\[19\] +gpio_analog_pol\[19\] gpio_dm0\[19\] -analog_noesd_io\[19\] gpio_analog_en\[19\] +gpio_noesd_io\[19\] gpio_dm1\[19\] -gpio_analog_pol\[19\] -gpio_inp_dis\[19\] -gpio_analog_sel\[19\] -gpio_dm2\[19\] -gpio_holdover\[19\] -gpio_out\[19\] -gpio_vtrip_sel\[19\] -gpio_ib_mode_sel\[19\] -gpio_oeb\[19\] -gpio_in_h\[19\] -gpio_loopback_one\[19\] -gpio_loopback_zero\[19\] - -gpio_in\[18\] -gpio_slow_sel\[18\] -analog_io\[18\] +analog_io\[19\] +gpio_slow_sel\[19\] +gpio_in\[19\] +gpio_loopback_zero\[18\] +gpio_loopback_one\[18\] +gpio_in_h\[18\] +gpio_oeb\[18\] +gpio_ib_mode_sel\[18\] +gpio_vtrip_sel\[18\] +gpio_out\[18\] +gpio_holdover\[18\] +gpio_dm2\[18\] +gpio_anlaog_sel\[18\] +gpio_ieb\[18\] +gpio_analog_pol\[18\] gpio_dm0\[18\] -analog_noesd_io\[18\] gpio_analog_en\[18\] +gpio_noesd_io\[18\] gpio_dm1\[18\] -gpio_analog_pol\[18\] -gpio_inp_dis\[18\] -gpio_analog_sel\[18\] -gpio_dm2\[18\] -gpio_holdover\[18\] -gpio_out\[18\] -gpio_vtrip_sel\[18\] -gpio_ib_mode_sel\[18\] -gpio_oeb\[18\] -gpio_in_h\[18\] -gpio_loopback_one\[18\] -gpio_loopback_zero\[18\] - -gpio_in\[17\] -gpio_slow_sel\[17\] -analog_io\[17\] +analog_io\[18\] +gpio_slow_sel\[18\] +gpio_in\[18\] +gpio_loopback_zero\[17\] +gpio_loopback_one\[17\] +gpio_in_h\[17\] +gpio_oeb\[17\] +gpio_ib_mode_sel\[17\] +gpio_vtrip_sel\[17\] +gpio_out\[17\] +gpio_holdover\[17\] +gpio_dm2\[17\] +gpio_anlaog_sel\[17\] +gpio_ieb\[17\] +gpio_analog_pol\[17\] gpio_dm0\[17\] -analog_noesd_io\[17\] gpio_analog_en\[17\] +gpio_noesd_io\[17\] gpio_dm1\[17\] -gpio_analog_pol\[17\] -gpio_inp_dis\[17\] -gpio_analog_sel\[17\] -gpio_dm2\[17\] -gpio_holdover\[17\] -gpio_out\[17\] -gpio_vtrip_sel\[17\] -gpio_ib_mode_sel\[17\] -gpio_oeb\[17\] -gpio_in_h\[17\] -gpio_loopback_one\[17\] -gpio_loopback_zero\[17\] - -gpio_in\[16\] -gpio_slow_sel\[16\] -analog_io\[16\] +analog_io\[17\] +gpio_slow_sel\[17\] +gpio_in\[17\] +gpio_loopback_zero\[16\] +gpio_loopback_one\[16\] +gpio_in_h\[16\] +gpio_oeb\[16\] +gpio_ib_mode_sel\[16\] +gpio_vtrip_sel\[16\] +gpio_out\[16\] +gpio_holdover\[16\] +gpio_dm2\[16\] +gpio_anlaog_sel\[16\] +gpio_ieb\[16\] +gpio_analog_pol\[16\] gpio_dm0\[16\] -analog_noesd_io\[16\] gpio_analog_en\[16\] +gpio_noesd_io\[16\] gpio_dm1\[16\] -gpio_analog_pol\[16\] -gpio_inp_dis\[16\] -gpio_analog_sel\[16\] -gpio_dm2\[16\] -gpio_holdover\[16\] -gpio_out\[16\] -gpio_vtrip_sel\[16\] -gpio_ib_mode_sel\[16\] -gpio_oeb\[16\] -gpio_in_h\[16\] -gpio_loopback_one\[16\] -gpio_loopback_zero\[16\] - -gpio_in\[15\] -gpio_slow_sel\[15\] -analog_io\[15\] +analog_io\[16\] +gpio_slow_sel\[16\] +gpio_in\[16\] +gpio_loopback_zero\[15\] +gpio_loopback_one\[15\] +gpio_in_h\[15\] +gpio_oeb\[15\] +gpio_ib_mode_sel\[15\] +gpio_vtrip_sel\[15\] +gpio_out\[15\] +gpio_holdover\[15\] +gpio_dm2\[15\] +gpio_anlaog_sel\[15\] +gpio_ieb\[15\] +gpio_analog_pol\[15\] gpio_dm0\[15\] -analog_noesd_io\[15\] gpio_analog_en\[15\] +gpio_noesd_io\[15\] gpio_dm1\[15\] -gpio_analog_pol\[15\] -gpio_inp_dis\[15\] -gpio_analog_sel\[15\] -gpio_dm2\[15\] -gpio_holdover\[15\] -gpio_out\[15\] -gpio_vtrip_sel\[15\] -gpio_ib_mode_sel\[15\] -gpio_oeb\[15\] -gpio_in_h\[15\] -gpio_loopback_one\[15\] -gpio_loopback_zero\[15\] - -#S -resetb_h -resetb_l -gpio_in\[38\] -gpio_slow_sel\[38\] -analog_io\[38\] -gpio_dm0\[38\] -analog_noesd_io\[38\] -gpio_analog_en\[38\] -gpio_dm1\[38\] -gpio_analog_pol\[38\] -gpio_inp_dis\[38\] -gpio_analog_sel\[38\] -gpio_dm2\[38\] -gpio_holdover\[38\] -gpio_out\[38\] -gpio_vtrip_sel\[38\] -gpio_ib_mode_sel\[38\] -gpio_oeb\[38\] -gpio_in_h\[38\] -gpio_loopback_one\[38\] -gpio_loopback_zero\[38\] - -gpio_in\[39\] -gpio_slow_sel\[39\] -analog_io\[39\] -gpio_dm0\[39\] -analog_noesd_io\[39\] -gpio_analog_en\[39\] -gpio_dm1\[39\] -gpio_analog_pol\[39\] -gpio_inp_dis\[39\] -gpio_analog_sel\[39\] -gpio_dm2\[39\] -gpio_holdover\[39\] -gpio_out\[39\] -gpio_vtrip_sel\[39\] -gpio_ib_mode_sel\[39\] -gpio_oeb\[39\] -gpio_in_h\[39\] -gpio_loopback_one\[39\] -gpio_loopback_zero\[39\] - -gpio_in\[40\] -gpio_slow_sel\[40\] -analog_io\[40\] -gpio_dm0\[40\] -analog_noesd_io\[40\] -gpio_analog_en\[40\] -gpio_dm1\[40\] -gpio_analog_pol\[40\] -gpio_inp_dis\[40\] -gpio_analog_sel\[40\] -gpio_dm2\[40\] -gpio_holdover\[40\] -gpio_out\[40\] -gpio_vtrip_sel\[40\] -gpio_ib_mode_sel\[40\] -gpio_oeb\[40\] -gpio_in_h\[40\] -gpio_loopback_one\[40\] -gpio_loopback_zero\[40\] - -gpio_in\[41\] -gpio_slow_sel\[41\] -analog_io\[41\] -gpio_dm0\[41\] -analog_noesd_io\[41\] -gpio_analog_en\[41\] -gpio_dm1\[41\] -gpio_analog_pol\[41\] -gpio_inp_dis\[41\] -gpio_analog_sel\[41\] -gpio_dm2\[41\] -gpio_holdover\[41\] -gpio_out\[41\] -gpio_vtrip_sel\[41\] -gpio_ib_mode_sel\[41\] -gpio_oeb\[41\] -gpio_in_h\[41\] -gpio_loopback_one\[41\] -gpio_loopback_zero\[41\] - -gpio_in\[42\] -gpio_slow_sel\[42\] -analog_io\[42\] -gpio_dm0\[42\] -analog_noesd_io\[42\] -gpio_analog_en\[42\] -gpio_dm1\[42\] -gpio_analog_pol\[42\] -gpio_inp_dis\[42\] -gpio_analog_sel\[42\] -gpio_dm2\[42\] -gpio_holdover\[42\] -gpio_out\[42\] -gpio_vtrip_sel\[42\] -gpio_ib_mode_sel\[42\] -gpio_oeb\[42\] -gpio_in_h\[42\] -gpio_loopback_one\[42\] -gpio_loopback_zero\[42\] - -gpio_in\[43\] -gpio_slow_sel\[43\] -analog_io\[43\] -gpio_dm0\[43\] -analog_noesd_io\[43\] -gpio_analog_en\[43\] -gpio_dm1\[43\] -gpio_analog_pol\[43\] -gpio_inp_dis\[43\] -gpio_analog_sel\[43\] -gpio_dm2\[43\] -gpio_holdover\[43\] -gpio_out\[43\] -gpio_vtrip_sel\[43\] -gpio_ib_mode_sel\[43\] -gpio_oeb\[43\] -gpio_in_h\[43\] -gpio_loopback_one\[43\] -gpio_loopback_zero\[43\] - -mask_rev\[0\] -mask_rev\[1\] -mask_rev\[2\] -mask_rev\[3\] -mask_rev\[4\] -mask_rev\[5\] -mask_rev\[6\] -mask_rev\[7\] -mask_rev\[8\] -mask_rev\[9\] -mask_rev\[10\] -mask_rev\[11\] -mask_rev\[12\] -mask_rev\[13\] -mask_rev\[14\] -mask_rev\[15\] -mask_rev\[16\] -mask_rev\[17\] -mask_rev\[18\] -mask_rev\[19\] -mask_rev\[20\] -mask_rev\[21\] -mask_rev\[22\] -mask_rev\[23\] -mask_rev\[24\] -mask_rev\[25\] -mask_rev\[26\] -mask_rev\[27\] -mask_rev\[28\] -mask_rev\[29\] -mask_rev\[30\] -mask_rev\[31\] - +analog_io\[15\] +gpio_slow_sel\[15\] +gpio_in\[15\] #E -gpio_in\[14\] -gpio_slow_sel\[14\] -analog_io\[14\] -gpio_dm0\[14\] -analog_noesd_io\[14\] -gpio_analog_en\[14\] -gpio_dm1\[14\] -gpio_analog_pol\[14\] -gpio_inp_dis\[14\] -gpio_analog_sel\[14\] -gpio_dm2\[14\] -gpio_holdover\[14\] -gpio_out\[14\] -gpio_vtrip_sel\[14\] -gpio_ib_mode_sel\[14\] -gpio_oeb\[14\] -gpio_in_h\[14\] -gpio_loopback_one\[14\] -gpio_loopback_zero\[14\] - -gpio_in\[13\] -gpio_slow_sel\[13\] -analog_io\[13\] -gpio_dm0\[13\] -analog_noesd_io\[13\] -gpio_analog_en\[13\] -gpio_dm1\[13\] -gpio_analog_pol\[13\] -gpio_inp_dis\[13\] -gpio_analog_sel\[13\] -gpio_dm2\[13\] -gpio_holdover\[13\] -gpio_out\[13\] -gpio_vtrip_sel\[13\] -gpio_ib_mode_sel\[13\] -gpio_oeb\[13\] -gpio_in_h\[13\] -gpio_loopback_one\[13\] -gpio_loopback_zero\[13\] - -gpio_in\[12\] -gpio_slow_sel\[12\] -analog_io\[12\] -gpio_dm0\[12\] -analog_noesd_io\[12\] -gpio_analog_en\[12\] -gpio_dm1\[12\] -gpio_analog_pol\[12\] -gpio_inp_dis\[12\] -gpio_analog_sel\[12\] -gpio_dm2\[12\] -gpio_holdover\[12\] -gpio_out\[12\] -gpio_vtrip_sel\[12\] -gpio_ib_mode_sel\[12\] -gpio_oeb\[12\] -gpio_in_h\[12\] -gpio_loopback_one\[12\] -gpio_loopback_zero\[12\] - -gpio_in\[11\] -gpio_slow_sel\[11\] -analog_io\[11\] -gpio_dm0\[11\] -analog_noesd_io\[11\] -gpio_analog_en\[11\] -gpio_dm1\[11\] -gpio_analog_pol\[11\] -gpio_inp_dis\[11\] -gpio_analog_sel\[11\] -gpio_dm2\[11\] -gpio_holdover\[11\] -gpio_out\[11\] -gpio_vtrip_sel\[11\] -gpio_ib_mode_sel\[11\] -gpio_oeb\[11\] -gpio_in_h\[11\] -gpio_loopback_one\[11\] -gpio_loopback_zero\[11\] - -gpio_in\[10\] -gpio_slow_sel\[10\] -analog_io\[10\] -gpio_dm0\[10\] -analog_noesd_io\[10\] -gpio_analog_en\[10\] -gpio_dm1\[10\] -gpio_analog_pol\[10\] -gpio_inp_dis\[10\] -gpio_analog_sel\[10\] -gpio_dm2\[10\] -gpio_holdover\[10\] -gpio_out\[10\] -gpio_vtrip_sel\[10\] -gpio_ib_mode_sel\[10\] -gpio_oeb\[10\] -gpio_in_h\[10\] -gpio_loopback_one\[10\] -gpio_loopback_zero\[10\] - -gpio_in\[9\] -gpio_slow_sel\[9\] -analog_io\[9\] -gpio_dm0\[9\] -analog_noesd_io\[9\] -gpio_analog_en\[9\] -gpio_dm1\[9\] -gpio_analog_pol\[9\] -gpio_inp_dis\[9\] -gpio_analog_sel\[9\] -gpio_dm2\[9\] -gpio_holdover\[9\] -gpio_out\[9\] -gpio_vtrip_sel\[9\] -gpio_ib_mode_sel\[9\] -gpio_oeb\[9\] -gpio_in_h\[9\] -gpio_loopback_one\[9\] -gpio_loopback_zero\[9\] - -gpio_in\[8\] -gpio_slow_sel\[8\] -analog_io\[8\] -gpio_dm0\[8\] -analog_noesd_io\[8\] -gpio_analog_en\[8\] -gpio_dm1\[8\] -gpio_analog_pol\[8\] -gpio_inp_dis\[8\] -gpio_analog_sel\[8\] -gpio_dm2\[8\] -gpio_holdover\[8\] -gpio_out\[8\] -gpio_vtrip_sel\[8\] -gpio_ib_mode_sel\[8\] -gpio_oeb\[8\] -gpio_in_h\[8\] -gpio_loopback_one\[8\] -gpio_loopback_zero\[8\] - -gpio_in\[7\] -gpio_slow_sel\[7\] -analog_io\[7\] -gpio_dm0\[7\] -analog_noesd_io\[7\] -gpio_analog_en\[7\] -gpio_dm1\[7\] -gpio_analog_pol\[7\] -gpio_inp_dis\[7\] -gpio_analog_sel\[7\] -gpio_dm2\[7\] -gpio_holdover\[7\] -gpio_out\[7\] -gpio_vtrip_sel\[7\] -gpio_ib_mode_sel\[7\] -gpio_oeb\[7\] -gpio_in_h\[7\] -gpio_loopback_one\[7\] -gpio_loopback_zero\[7\] - -gpio_in\[6\] -gpio_slow_sel\[6\] -analog_io\[6\] -gpio_dm0\[6\] -analog_noesd_io\[6\] -gpio_analog_en\[6\] -gpio_dm1\[6\] -gpio_analog_pol\[6\] -gpio_inp_dis\[6\] -gpio_analog_sel\[6\] -gpio_dm2\[6\] -gpio_holdover\[6\] -gpio_out\[6\] -gpio_vtrip_sel\[6\] -gpio_ib_mode_sel\[6\] -gpio_oeb\[6\] -gpio_in_h\[6\] -gpio_loopback_one\[6\] -gpio_loopback_zero\[6\] - -gpio_in\[5\] -gpio_slow_sel\[5\] -analog_io\[5\] -gpio_dm0\[5\] -analog_noesd_io\[5\] -gpio_analog_en\[5\] -gpio_dm1\[5\] -gpio_analog_pol\[5\] -gpio_inp_dis\[5\] -gpio_analog_sel\[5\] -gpio_dm2\[5\] -gpio_holdover\[5\] -gpio_out\[5\] -gpio_vtrip_sel\[5\] -gpio_ib_mode_sel\[5\] -gpio_oeb\[5\] -gpio_in_h\[5\] -gpio_loopback_one\[5\] -gpio_loopback_zero\[5\] - -gpio_in\[4\] -gpio_slow_sel\[4\] -analog_io\[4\] -gpio_dm0\[4\] -analog_noesd_io\[4\] -gpio_analog_en\[4\] -gpio_dm1\[4\] -gpio_analog_pol\[4\] -gpio_inp_dis\[4\] -gpio_analog_sel\[4\] -gpio_dm2\[4\] -gpio_holdover\[4\] -gpio_out\[4\] -gpio_vtrip_sel\[4\] -gpio_ib_mode_sel\[4\] -gpio_oeb\[4\] -gpio_in_h\[4\] -gpio_loopback_one\[4\] -gpio_loopback_zero\[4\] - -gpio_in\[3\] -gpio_slow_sel\[3\] -analog_io\[3\] -gpio_dm0\[3\] -analog_noesd_io\[3\] -gpio_analog_en\[3\] -gpio_dm1\[3\] -gpio_analog_pol\[3\] -gpio_inp_dis\[3\] -gpio_analog_sel\[3\] -gpio_dm2\[3\] -gpio_holdover\[3\] -gpio_out\[3\] -gpio_vtrip_sel\[3\] -gpio_ib_mode_sel\[3\] -gpio_oeb\[3\] -gpio_in_h\[3\] -gpio_loopback_one\[3\] -gpio_loopback_zero\[3\] - -gpio_in\[2\] -gpio_slow_sel\[2\] -analog_io\[2\] -gpio_dm0\[2\] -analog_noesd_io\[2\] -gpio_analog_en\[2\] -gpio_dm1\[2\] -gpio_analog_pol\[2\] -gpio_inp_dis\[2\] -gpio_analog_sel\[2\] -gpio_dm2\[2\] -gpio_holdover\[2\] -gpio_out\[2\] -gpio_vtrip_sel\[2\] -gpio_ib_mode_sel\[2\] -gpio_oeb\[2\] -gpio_in_h\[2\] -gpio_loopback_one\[2\] -gpio_loopback_zero\[2\] - -gpio_in\[1\] -gpio_slow_sel\[1\] -analog_io\[1\] -gpio_dm0\[1\] -analog_noesd_io\[1\] -gpio_analog_en\[1\] -gpio_dm1\[1\] -gpio_analog_pol\[1\] -gpio_inp_dis\[1\] -gpio_analog_sel\[1\] -gpio_dm2\[1\] -gpio_holdover\[1\] -gpio_out\[1\] -gpio_vtrip_sel\[1\] -gpio_ib_mode_sel\[1\] -gpio_oeb\[1\] -gpio_in_h\[1\] -gpio_loopback_one\[1\] -gpio_loopback_zero\[1\] - gpio_in\[0\] gpio_slow_sel\[0\] analog_io\[0\] -gpio_dm0\[0\] -analog_noesd_io\[0\] -gpio_analog_en\[0\] gpio_dm1\[0\] +gpio_noesd_io\[0\] +gpio_analog_en\[0\] +gpio_dm0\[0\] gpio_analog_pol\[0\] -gpio_inp_dis\[0\] -gpio_analog_sel\[0\] +gpio_ieb\[0\] +gpio_anlaog_sel\[0\] gpio_dm2\[0\] gpio_holdover\[0\] gpio_out\[0\] @@ -637,290 +191,655 @@ gpio_oeb\[0\] gpio_in_h\[0\] gpio_loopback_one\[0\] gpio_loopback_zero\[0\] - - -#WR - -gpio_in\[24\] -gpio_slow_sel\[24\] -analog_io\[24\] -gpio_dm0\[24\] -analog_noesd_io\[24\] -gpio_analog_en\[24\] -gpio_dm1\[24\] -gpio_analog_pol\[24\] -gpio_inp_dis\[24\] -gpio_analog_sel\[24\] -gpio_dm2\[24\] -gpio_holdover\[24\] -gpio_out\[24\] -gpio_vtrip_sel\[24\] -gpio_ib_mode_sel\[24\] -gpio_oeb\[24\] -gpio_in_h\[24\] -gpio_loopback_one\[24\] -gpio_loopback_zero\[24\] - -gpio_in\[25\] -gpio_slow_sel\[25\] -analog_io\[25\] -gpio_dm0\[25\] -analog_noesd_io\[25\] -gpio_analog_en\[25\] -gpio_dm1\[25\] -gpio_analog_pol\[25\] -gpio_inp_dis\[25\] -gpio_analog_sel\[25\] -gpio_dm2\[25\] -gpio_holdover\[25\] -gpio_out\[25\] -gpio_vtrip_sel\[25\] -gpio_ib_mode_sel\[25\] -gpio_oeb\[25\] -gpio_in_h\[25\] -gpio_loopback_one\[25\] -gpio_loopback_zero\[25\] - -gpio_in\[26\] -gpio_slow_sel\[26\] -analog_io\[26\] -gpio_dm0\[26\] -analog_noesd_io\[26\] -gpio_analog_en\[26\] -gpio_dm1\[26\] -gpio_analog_pol\[26\] -gpio_inp_dis\[26\] -gpio_analog_sel\[26\] -gpio_dm2\[26\] -gpio_holdover\[26\] -gpio_out\[26\] -gpio_vtrip_sel\[26\] -gpio_ib_mode_sel\[26\] -gpio_oeb\[26\] -gpio_in_h\[26\] -gpio_loopback_one\[26\] -gpio_loopback_zero\[26\] - -gpio_in\[27\] -gpio_slow_sel\[27\] -analog_io\[27\] -gpio_dm0\[27\] -analog_noesd_io\[27\] -gpio_analog_en\[27\] -gpio_dm1\[27\] -gpio_analog_pol\[27\] -gpio_inp_dis\[27\] -gpio_analog_sel\[27\] -gpio_dm2\[27\] -gpio_holdover\[27\] -gpio_out\[27\] -gpio_vtrip_sel\[27\] -gpio_ib_mode_sel\[27\] -gpio_oeb\[27\] -gpio_in_h\[27\] -gpio_loopback_one\[27\] -gpio_loopback_zero\[27\] - -gpio_in\[28\] -gpio_slow_sel\[28\] -analog_io\[28\] -gpio_dm0\[28\] -analog_noesd_io\[28\] -gpio_analog_en\[28\] -gpio_dm1\[28\] -gpio_analog_pol\[28\] -gpio_inp_dis\[28\] -gpio_analog_sel\[28\] -gpio_dm2\[28\] -gpio_holdover\[28\] -gpio_out\[28\] -gpio_vtrip_sel\[28\] -gpio_ib_mode_sel\[28\] -gpio_oeb\[28\] -gpio_in_h\[28\] -gpio_loopback_one\[28\] -gpio_loopback_zero\[28\] - -gpio_in\[29\] -gpio_slow_sel\[29\] -analog_io\[29\] -gpio_dm0\[29\] -analog_noesd_io\[29\] -gpio_analog_en\[29\] -gpio_dm1\[29\] -gpio_analog_pol\[29\] -gpio_inp_dis\[29\] -gpio_analog_sel\[29\] -gpio_dm2\[29\] -gpio_holdover\[29\] -gpio_out\[29\] -gpio_vtrip_sel\[29\] -gpio_ib_mode_sel\[29\] -gpio_oeb\[29\] -gpio_in_h\[29\] -gpio_loopback_one\[29\] -gpio_loopback_zero\[29\] - -gpio_in\[30\] -gpio_slow_sel\[30\] -analog_io\[30\] -gpio_dm0\[30\] -analog_noesd_io\[30\] -gpio_analog_en\[30\] -gpio_dm1\[30\] -gpio_analog_pol\[30\] -gpio_inp_dis\[30\] -gpio_analog_sel\[30\] -gpio_dm2\[30\] -gpio_holdover\[30\] -gpio_out\[30\] -gpio_vtrip_sel\[30\] -gpio_ib_mode_sel\[30\] -gpio_oeb\[30\] -gpio_in_h\[30\] -gpio_loopback_one\[30\] -gpio_loopback_zero\[30\] - -gpio_in\[31\] -gpio_slow_sel\[31\] -analog_io\[31\] -gpio_dm0\[31\] -analog_noesd_io\[31\] -gpio_analog_en\[31\] -gpio_dm1\[31\] -gpio_analog_pol\[31\] -gpio_inp_dis\[31\] -gpio_analog_sel\[31\] -gpio_dm2\[31\] -gpio_holdover\[31\] -gpio_out\[31\] -gpio_vtrip_sel\[31\] -gpio_ib_mode_sel\[31\] -gpio_oeb\[31\] -gpio_in_h\[31\] -gpio_loopback_one\[31\] -gpio_loopback_zero\[31\] - -gpio_in\[32\] -gpio_slow_sel\[32\] -analog_io\[32\] -gpio_dm0\[32\] -analog_noesd_io\[32\] -gpio_analog_en\[32\] -gpio_dm1\[32\] -gpio_analog_pol\[32\] -gpio_inp_dis\[32\] -gpio_analog_sel\[32\] -gpio_dm2\[32\] -gpio_holdover\[32\] -gpio_out\[32\] -gpio_vtrip_sel\[32\] -gpio_ib_mode_sel\[32\] -gpio_oeb\[32\] -gpio_in_h\[32\] -gpio_loopback_one\[32\] -gpio_loopback_zero\[32\] - -gpio_in\[33\] -gpio_slow_sel\[33\] -analog_io\[33\] -gpio_dm0\[33\] -analog_noesd_io\[33\] -gpio_analog_en\[33\] -gpio_dm1\[33\] -gpio_analog_pol\[33\] -gpio_inp_dis\[33\] -gpio_analog_sel\[33\] -gpio_dm2\[33\] -gpio_holdover\[33\] -gpio_out\[33\] -gpio_vtrip_sel\[33\] -gpio_ib_mode_sel\[33\] -gpio_oeb\[33\] -gpio_in_h\[33\] -gpio_loopback_one\[33\] -gpio_loopback_zero\[33\] - -gpio_in\[34\] -gpio_slow_sel\[34\] -analog_io\[34\] -gpio_dm0\[34\] -analog_noesd_io\[34\] -gpio_analog_en\[34\] -gpio_dm1\[34\] -gpio_analog_pol\[34\] -gpio_inp_dis\[34\] -gpio_analog_sel\[34\] -gpio_dm2\[34\] -gpio_holdover\[34\] -gpio_out\[34\] -gpio_vtrip_sel\[34\] -gpio_ib_mode_sel\[34\] -gpio_oeb\[34\] -gpio_in_h\[34\] -gpio_loopback_one\[34\] -gpio_loopback_zero\[34\] - -gpio_in\[35\] -gpio_slow_sel\[35\] -analog_io\[35\] -gpio_dm0\[35\] -analog_noesd_io\[35\] -gpio_analog_en\[35\] -gpio_dm1\[35\] -gpio_analog_pol\[35\] -gpio_inp_dis\[35\] -gpio_analog_sel\[35\] -gpio_dm2\[35\] -gpio_holdover\[35\] -gpio_out\[35\] -gpio_vtrip_sel\[35\] -gpio_ib_mode_sel\[35\] -gpio_oeb\[35\] -gpio_in_h\[35\] -gpio_loopback_one\[35\] -gpio_loopback_zero\[35\] - -gpio_in\[36\] -gpio_slow_sel\[36\] -analog_io\[36\] -gpio_dm0\[36\] -analog_noesd_io\[36\] -gpio_analog_en\[36\] -gpio_dm1\[36\] -gpio_analog_pol\[36\] -gpio_inp_dis\[36\] -gpio_analog_sel\[36\] -gpio_dm2\[36\] -gpio_holdover\[36\] -gpio_out\[36\] -gpio_vtrip_sel\[36\] -gpio_ib_mode_sel\[36\] -gpio_oeb\[36\] -gpio_in_h\[36\] -gpio_loopback_one\[36\] -gpio_loopback_zero\[36\] - -gpio_in\[37\] -gpio_slow_sel\[37\] -analog_io\[37\] +gpio_in\[1\] +gpio_slow_sel\[1\] +analog_io\[1\] +gpio_dm1\[1\] +gpio_noesd_io\[1\] +gpio_analog_en\[1\] +gpio_dm0\[1\] +gpio_analog_pol\[1\] +gpio_ieb\[1\] +gpio_anlaog_sel\[1\] +gpio_dm2\[1\] +gpio_holdover\[1\] +gpio_out\[1\] +gpio_vtrip_sel\[1\] +gpio_ib_mode_sel\[1\] +gpio_oeb\[1\] +gpio_in_h\[1\] +gpio_loopback_one\[1\] +gpio_loopback_zero\[1\] +gpio_in\[2\] +gpio_slow_sel\[2\] +analog_io\[2\] +gpio_dm1\[2\] +gpio_noesd_io\[2\] +gpio_analog_en\[2\] +gpio_dm0\[2\] +gpio_analog_pol\[2\] +gpio_ieb\[2\] +gpio_anlaog_sel\[2\] +gpio_dm2\[2\] +gpio_holdover\[2\] +gpio_out\[2\] +gpio_vtrip_sel\[2\] +gpio_ib_mode_sel\[2\] +gpio_oeb\[2\] +gpio_in_h\[2\] +gpio_loopback_one\[2\] +gpio_loopback_zero\[2\] +gpio_in\[3\] +gpio_slow_sel\[3\] +analog_io\[3\] +gpio_dm1\[3\] +gpio_noesd_io\[3\] +gpio_analog_en\[3\] +gpio_dm0\[3\] +gpio_analog_pol\[3\] +gpio_ieb\[3\] +gpio_anlaog_sel\[3\] +gpio_dm2\[3\] +gpio_holdover\[3\] +gpio_out\[3\] +gpio_vtrip_sel\[3\] +gpio_ib_mode_sel\[3\] +gpio_oeb\[3\] +gpio_in_h\[3\] +gpio_loopback_one\[3\] +gpio_loopback_zero\[3\] +gpio_in\[4\] +gpio_slow_sel\[4\] +analog_io\[4\] +gpio_dm1\[4\] +gpio_noesd_io\[4\] +gpio_analog_en\[4\] +gpio_dm0\[4\] +gpio_analog_pol\[4\] +gpio_ieb\[4\] +gpio_anlaog_sel\[4\] +gpio_dm2\[4\] +gpio_holdover\[4\] +gpio_out\[4\] +gpio_vtrip_sel\[4\] +gpio_ib_mode_sel\[4\] +gpio_oeb\[4\] +gpio_in_h\[4\] +gpio_loopback_one\[4\] +gpio_loopback_zero\[4\] +gpio_in\[5\] +gpio_slow_sel\[5\] +analog_io\[5\] +gpio_dm1\[5\] +gpio_noesd_io\[5\] +gpio_analog_en\[5\] +gpio_dm0\[5\] +gpio_analog_pol\[5\] +gpio_ieb\[5\] +gpio_anlaog_sel\[5\] +gpio_dm2\[5\] +gpio_holdover\[5\] +gpio_out\[5\] +gpio_vtrip_sel\[5\] +gpio_ib_mode_sel\[5\] +gpio_oeb\[5\] +gpio_in_h\[5\] +gpio_loopback_one\[5\] +gpio_loopback_zero\[5\] +gpio_in\[6\] +gpio_slow_sel\[6\] +analog_io\[6\] +gpio_dm1\[6\] +gpio_noesd_io\[6\] +gpio_analog_en\[6\] +gpio_dm0\[6\] +gpio_analog_pol\[6\] +gpio_ieb\[6\] +gpio_anlaog_sel\[6\] +gpio_dm2\[6\] +gpio_holdover\[6\] +gpio_out\[6\] +gpio_vtrip_sel\[6\] +gpio_ib_mode_sel\[6\] +gpio_oeb\[6\] +gpio_in_h\[6\] +gpio_loopback_one\[6\] +gpio_loopback_zero\[6\] +gpio_in\[7\] +gpio_slow_sel\[7\] +analog_io\[7\] +gpio_dm1\[7\] +gpio_noesd_io\[7\] +gpio_analog_en\[7\] +gpio_dm0\[7\] +gpio_analog_pol\[7\] +gpio_ieb\[7\] +gpio_anlaog_sel\[7\] +gpio_dm2\[7\] +gpio_holdover\[7\] +gpio_out\[7\] +gpio_vtrip_sel\[7\] +gpio_ib_mode_sel\[7\] +gpio_oeb\[7\] +gpio_in_h\[7\] +gpio_loopback_one\[7\] +gpio_loopback_zero\[7\] +gpio_in\[8\] +gpio_slow_sel\[8\] +analog_io\[8\] +gpio_dm1\[8\] +gpio_noesd_io\[8\] +gpio_analog_en\[8\] +gpio_dm0\[8\] +gpio_analog_pol\[8\] +gpio_ieb\[8\] +gpio_anlaog_sel\[8\] +gpio_dm2\[8\] +gpio_holdover\[8\] +gpio_out\[8\] +gpio_vtrip_sel\[8\] +gpio_ib_mode_sel\[8\] +gpio_oeb\[8\] +gpio_in_h\[8\] +gpio_loopback_one\[8\] +gpio_loopback_zero\[8\] +gpio_in\[9\] +gpio_slow_sel\[9\] +analog_io\[9\] +gpio_dm1\[9\] +gpio_noesd_io\[9\] +gpio_analog_en\[9\] +gpio_dm0\[9\] +gpio_analog_pol\[9\] +gpio_ieb\[9\] +gpio_anlaog_sel\[9\] +gpio_dm2\[9\] +gpio_holdover\[9\] +gpio_out\[9\] +gpio_vtrip_sel\[9\] +gpio_ib_mode_sel\[9\] +gpio_oeb\[9\] +gpio_in_h\[9\] +gpio_loopback_one\[9\] +gpio_loopback_zero\[9\] +gpio_in\[10\] +gpio_slow_sel\[10\] +analog_io\[10\] +gpio_dm1\[10\] +gpio_noesd_io\[10\] +gpio_analog_en\[10\] +gpio_dm0\[10\] +gpio_analog_pol\[10\] +gpio_ieb\[10\] +gpio_anlaog_sel\[10\] +gpio_dm2\[10\] +gpio_holdover\[10\] +gpio_out\[10\] +gpio_vtrip_sel\[10\] +gpio_ib_mode_sel\[10\] +gpio_oeb\[10\] +gpio_in_h\[10\] +gpio_loopback_one\[10\] +gpio_loopback_zero\[10\] +gpio_in\[11\] +gpio_slow_sel\[11\] +analog_io\[11\] +gpio_dm1\[11\] +gpio_noesd_io\[11\] +gpio_analog_en\[11\] +gpio_dm0\[11\] +gpio_analog_pol\[11\] +gpio_ieb\[11\] +gpio_anlaog_sel\[11\] +gpio_dm2\[11\] +gpio_holdover\[11\] +gpio_out\[11\] +gpio_vtrip_sel\[11\] +gpio_ib_mode_sel\[11\] +gpio_oeb\[11\] +gpio_in_h\[11\] +gpio_loopback_one\[11\] +gpio_loopback_zero\[11\] +gpio_in\[12\] +gpio_slow_sel\[12\] +analog_io\[12\] +gpio_dm1\[12\] +gpio_noesd_io\[12\] +gpio_analog_en\[12\] +gpio_dm0\[12\] +gpio_analog_pol\[12\] +gpio_ieb\[12\] +gpio_anlaog_sel\[12\] +gpio_dm2\[12\] +gpio_holdover\[12\] +gpio_out\[12\] +gpio_vtrip_sel\[12\] +gpio_ib_mode_sel\[12\] +gpio_oeb\[12\] +gpio_in_h\[12\] +gpio_loopback_one\[12\] +gpio_loopback_zero\[12\] +gpio_in\[13\] +gpio_slow_sel\[13\] +analog_io\[13\] +gpio_dm1\[13\] +gpio_noesd_io\[13\] +gpio_analog_en\[13\] +gpio_dm0\[13\] +gpio_analog_pol\[13\] +gpio_ieb\[13\] +gpio_anlaog_sel\[13\] +gpio_dm2\[13\] +gpio_holdover\[13\] +gpio_out\[13\] +gpio_vtrip_sel\[13\] +gpio_ib_mode_sel\[13\] +gpio_oeb\[13\] +gpio_in_h\[13\] +gpio_loopback_one\[13\] +gpio_loopback_zero\[13\] +gpio_in\[14\] +gpio_slow_sel\[14\] +analog_io\[14\] +gpio_dm1\[14\] +gpio_noesd_io\[14\] +gpio_analog_en\[14\] +gpio_dm0\[14\] +gpio_analog_pol\[14\] +gpio_ieb\[14\] +gpio_anlaog_sel\[14\] +gpio_dm2\[14\] +gpio_holdover\[14\] +gpio_out\[14\] +gpio_vtrip_sel\[14\] +gpio_ib_mode_sel\[14\] +gpio_oeb\[14\] +gpio_in_h\[14\] +gpio_loopback_one\[14\] +gpio_loopback_zero\[14\] +#S +resetb +gpio_in\[38\] +gpio_slow_sel\[38\] +analog_io\[38\] +gpio_dm1\[38\] +gpio_noesd_io\[38\] +gpio_analog_en\[38\] +gpio_dm0\[38\] +gpio_analog_pol\[38\] +gpio_ieb\[38\] +gpio_anlaog_sel\[38\] +gpio_dm2\[38\] +gpio_holdover\[38\] +gpio_out\[38\] +gpio_vtrip_sel\[38\] +gpio_ib_mode_sel\[38\] +gpio_oeb\[38\] +gpio_in_h\[38\] +gpio_loopback_one\[38\] +gpio_loopback_zero\[38\] +gpio_in\[39\] +gpio_slow_sel\[39\] +analog_io\[39\] +gpio_dm1\[39\] +gpio_noesd_io\[39\] +gpio_analog_en\[39\] +gpio_dm0\[39\] +gpio_analog_pol\[39\] +gpio_ieb\[39\] +gpio_anlaog_sel\[39\] +gpio_dm2\[39\] +gpio_holdover\[39\] +gpio_out\[39\] +gpio_vtrip_sel\[39\] +gpio_ib_mode_sel\[39\] +gpio_oeb\[39\] +gpio_in_h\[39\] +gpio_loopback_one\[39\] +gpio_loopback_zero\[39\] +gpio_in\[40\] +gpio_slow_sel\[40\] +analog_io\[40\] +gpio_dm1\[40\] +gpio_noesd_io\[40\] +gpio_analog_en\[40\] +gpio_dm0\[40\] +gpio_analog_pol\[40\] +gpio_ieb\[40\] +gpio_anlaog_sel\[40\] +gpio_dm2\[40\] +gpio_holdover\[40\] +gpio_out\[40\] +gpio_vtrip_sel\[40\] +gpio_ib_mode_sel\[40\] +gpio_oeb\[40\] +gpio_in_h\[40\] +gpio_loopback_one\[40\] +gpio_loopback_zero\[40\] +gpio_in\[41\] +gpio_slow_sel\[41\] +analog_io\[41\] +gpio_dm1\[41\] +gpio_noesd_io\[41\] +gpio_analog_en\[41\] +gpio_dm0\[41\] +gpio_analog_pol\[41\] +gpio_ieb\[41\] +gpio_anlaog_sel\[41\] +gpio_dm2\[41\] +gpio_holdover\[41\] +gpio_out\[41\] +gpio_vtrip_sel\[41\] +gpio_ib_mode_sel\[41\] +gpio_oeb\[41\] +gpio_in_h\[41\] +gpio_loopback_one\[41\] +gpio_loopback_zero\[41\] +gpio_in\[42\] +gpio_slow_sel\[42\] +analog_io\[42\] +gpio_dm1\[42\] +gpio_noesd_io\[42\] +gpio_analog_en\[42\] +gpio_dm0\[42\] +gpio_analog_pol\[42\] +gpio_ieb\[42\] +gpio_anlaog_sel\[42\] +gpio_dm2\[42\] +gpio_holdover\[42\] +gpio_out\[42\] +gpio_vtrip_sel\[42\] +gpio_ib_mode_sel\[42\] +gpio_oeb\[42\] +gpio_in_h\[42\] +gpio_loopback_one\[42\] +gpio_loopback_zero\[42\] +gpio_in\[43\] +gpio_slow_sel\[43\] +analog_io\[43\] +gpio_dm1\[43\] +gpio_noesd_io\[43\] +gpio_analog_en\[43\] +gpio_dm0\[43\] +gpio_analog_pol\[43\] +gpio_ieb\[43\] +gpio_anlaog_sel\[43\] +gpio_dm2\[43\] +gpio_holdover\[43\] +gpio_out\[43\] +gpio_vtrip_sel\[43\] +gpio_ib_mode_sel\[43\] +gpio_oeb\[43\] +gpio_in_h\[43\] +gpio_loopback_one\[43\] +gpio_loopback_zero\[43\] +mask_rev.* +#W +porb +por +gpio_loopback_zero\[37\] +gpio_loopback_one\[37\] +gpio_in_h\[37\] +gpio_oeb\[37\] +gpio_ib_mode_sel\[37\] +gpio_vtrip_sel\[37\] +gpio_out\[37\] +gpio_holdover\[37\] +gpio_dm2\[37\] +gpio_anlaog_sel\[37\] +gpio_ieb\[37\] +gpio_analog_pol\[37\] gpio_dm0\[37\] -analog_noesd_io\[37\] gpio_analog_en\[37\] +gpio_noesd_io\[37\] gpio_dm1\[37\] -gpio_analog_pol\[37\] -gpio_inp_dis\[37\] -gpio_analog_sel\[37\] -gpio_dm2\[37\] -gpio_holdover\[37\] -gpio_out\[37\] -gpio_vtrip_sel\[37\] -gpio_ib_mode_sel\[37\] -gpio_oeb\[37\] -gpio_in_h\[37\] -gpio_loopback_one\[37\] -gpio_loopback_zero\[37\] - -porb_l -por_l -porb_h +analog_io\[37\] +gpio_slow_sel\[37\] +gpio_in\[37\] +gpio_loopback_zero\[36\] +gpio_loopback_one\[36\] +gpio_in_h\[36\] +gpio_oeb\[36\] +gpio_ib_mode_sel\[36\] +gpio_vtrip_sel\[36\] +gpio_out\[36\] +gpio_holdover\[36\] +gpio_dm2\[36\] +gpio_anlaog_sel\[36\] +gpio_ieb\[36\] +gpio_analog_pol\[36\] +gpio_dm0\[36\] +gpio_analog_en\[36\] +gpio_noesd_io\[36\] +gpio_dm1\[36\] +analog_io\[36\] +gpio_slow_sel\[36\] +gpio_in\[36\] +gpio_loopback_zero\[35\] +gpio_loopback_one\[35\] +gpio_in_h\[35\] +gpio_oeb\[35\] +gpio_ib_mode_sel\[35\] +gpio_vtrip_sel\[35\] +gpio_out\[35\] +gpio_holdover\[35\] +gpio_dm2\[35\] +gpio_anlaog_sel\[35\] +gpio_ieb\[35\] +gpio_analog_pol\[35\] +gpio_dm0\[35\] +gpio_analog_en\[35\] +gpio_noesd_io\[35\] +gpio_dm1\[35\] +analog_io\[35\] +gpio_slow_sel\[35\] +gpio_in\[35\] +gpio_loopback_zero\[34\] +gpio_loopback_one\[34\] +gpio_in_h\[34\] +gpio_oeb\[34\] +gpio_ib_mode_sel\[34\] +gpio_vtrip_sel\[34\] +gpio_out\[34\] +gpio_holdover\[34\] +gpio_dm2\[34\] +gpio_anlaog_sel\[34\] +gpio_ieb\[34\] +gpio_analog_pol\[34\] +gpio_dm0\[34\] +gpio_analog_en\[34\] +gpio_noesd_io\[34\] +gpio_dm1\[34\] +analog_io\[34\] +gpio_slow_sel\[34\] +gpio_in\[34\] +gpio_loopback_zero\[33\] +gpio_loopback_one\[33\] +gpio_in_h\[33\] +gpio_oeb\[33\] +gpio_ib_mode_sel\[33\] +gpio_vtrip_sel\[33\] +gpio_out\[33\] +gpio_holdover\[33\] +gpio_dm2\[33\] +gpio_anlaog_sel\[33\] +gpio_ieb\[33\] +gpio_analog_pol\[33\] +gpio_dm0\[33\] +gpio_analog_en\[33\] +gpio_noesd_io\[33\] +gpio_dm1\[33\] +analog_io\[33\] +gpio_slow_sel\[33\] +gpio_in\[33\] +gpio_loopback_zero\[32\] +gpio_loopback_one\[32\] +gpio_in_h\[32\] +gpio_oeb\[32\] +gpio_ib_mode_sel\[32\] +gpio_vtrip_sel\[32\] +gpio_out\[32\] +gpio_holdover\[32\] +gpio_dm2\[32\] +gpio_anlaog_sel\[32\] +gpio_ieb\[32\] +gpio_analog_pol\[32\] +gpio_dm0\[32\] +gpio_analog_en\[32\] +gpio_noesd_io\[32\] +gpio_dm1\[32\] +analog_io\[32\] +gpio_slow_sel\[32\] +gpio_in\[32\] +gpio_loopback_zero\[31\] +gpio_loopback_one\[31\] +gpio_in_h\[31\] +gpio_oeb\[31\] +gpio_ib_mode_sel\[31\] +gpio_vtrip_sel\[31\] +gpio_out\[31\] +gpio_holdover\[31\] +gpio_dm2\[31\] +gpio_anlaog_sel\[31\] +gpio_ieb\[31\] +gpio_analog_pol\[31\] +gpio_dm0\[31\] +gpio_analog_en\[31\] +gpio_noesd_io\[31\] +gpio_dm1\[31\] +analog_io\[31\] +gpio_slow_sel\[31\] +gpio_in\[31\] +gpio_loopback_zero\[30\] +gpio_loopback_one\[30\] +gpio_in_h\[30\] +gpio_oeb\[30\] +gpio_ib_mode_sel\[30\] +gpio_vtrip_sel\[30\] +gpio_out\[30\] +gpio_holdover\[30\] +gpio_dm2\[30\] +gpio_anlaog_sel\[30\] +gpio_ieb\[30\] +gpio_analog_pol\[30\] +gpio_dm0\[30\] +gpio_analog_en\[30\] +gpio_noesd_io\[30\] +gpio_dm1\[30\] +analog_io\[30\] +gpio_slow_sel\[30\] +gpio_in\[30\] +gpio_loopback_zero\[29\] +gpio_loopback_one\[29\] +gpio_in_h\[29\] +gpio_oeb\[29\] +gpio_ib_mode_sel\[29\] +gpio_vtrip_sel\[29\] +gpio_out\[29\] +gpio_holdover\[29\] +gpio_dm2\[29\] +gpio_anlaog_sel\[29\] +gpio_ieb\[29\] +gpio_analog_pol\[29\] +gpio_dm0\[29\] +gpio_analog_en\[29\] +gpio_noesd_io\[29\] +gpio_dm1\[29\] +analog_io\[29\] +gpio_slow_sel\[29\] +gpio_in\[29\] +gpio_loopback_zero\[28\] +gpio_loopback_one\[28\] +gpio_in_h\[28\] +gpio_oeb\[28\] +gpio_ib_mode_sel\[28\] +gpio_vtrip_sel\[28\] +gpio_out\[28\] +gpio_holdover\[28\] +gpio_dm2\[28\] +gpio_anlaog_sel\[28\] +gpio_ieb\[28\] +gpio_analog_pol\[28\] +gpio_dm0\[28\] +gpio_analog_en\[28\] +gpio_noesd_io\[28\] +gpio_dm1\[28\] +analog_io\[28\] +gpio_slow_sel\[28\] +gpio_in\[28\] +gpio_loopback_zero\[27\] +gpio_loopback_one\[27\] +gpio_in_h\[27\] +gpio_oeb\[27\] +gpio_ib_mode_sel\[27\] +gpio_vtrip_sel\[27\] +gpio_out\[27\] +gpio_holdover\[27\] +gpio_dm2\[27\] +gpio_anlaog_sel\[27\] +gpio_ieb\[27\] +gpio_analog_pol\[27\] +gpio_dm0\[27\] +gpio_analog_en\[27\] +gpio_noesd_io\[27\] +gpio_dm1\[27\] +analog_io\[27\] +gpio_slow_sel\[27\] +gpio_in\[27\] +gpio_loopback_zero\[26\] +gpio_loopback_one\[26\] +gpio_in_h\[26\] +gpio_oeb\[26\] +gpio_ib_mode_sel\[26\] +gpio_vtrip_sel\[26\] +gpio_out\[26\] +gpio_holdover\[26\] +gpio_dm2\[26\] +gpio_anlaog_sel\[26\] +gpio_ieb\[26\] +gpio_analog_pol\[26\] +gpio_dm0\[26\] +gpio_analog_en\[26\] +gpio_noesd_io\[26\] +gpio_dm1\[26\] +analog_io\[26\] +gpio_slow_sel\[26\] +gpio_in\[26\] +gpio_loopback_zero\[25\] +gpio_loopback_one\[25\] +gpio_in_h\[25\] +gpio_oeb\[25\] +gpio_ib_mode_sel\[25\] +gpio_vtrip_sel\[25\] +gpio_out\[25\] +gpio_holdover\[25\] +gpio_dm2\[25\] +gpio_anlaog_sel\[25\] +gpio_ieb\[25\] +gpio_analog_pol\[25\] +gpio_dm0\[25\] +gpio_analog_en\[25\] +gpio_noesd_io\[25\] +gpio_dm1\[25\] +analog_io\[25\] +gpio_slow_sel\[25\] +gpio_in\[25\] +gpio_loopback_zero\[24\] +gpio_loopback_one\[24\] +gpio_in_h\[24\] +gpio_oeb\[24\] +gpio_ib_mode_sel\[24\] +gpio_vtrip_sel\[24\] +gpio_out\[24\] +gpio_holdover\[24\] +gpio_dm2\[24\] +gpio_anlaog_sel\[24\] +gpio_ieb\[24\] +gpio_analog_pol\[24\] +gpio_dm0\[24\] +gpio_analog_en\[24\] +gpio_noesd_io\[24\] +gpio_dm1\[24\] +analog_io\[24\] +gpio_slow_sel\[24\] +gpio_in\[24\] diff --git a/openlane/picosoc/pins.py b/openlane/picosoc/pins.py new file mode 100644 index 0000000..9da38cd --- /dev/null +++ b/openlane/picosoc/pins.py @@ -0,0 +1,64 @@ +cycle = [ + "gpio_loopback_zero", + "gpio_loopback_one", + "gpio_in_h", + "gpio_oeb", + "gpio_ib_mode_sel", + "gpio_vtrip_sel", + "gpio_out", + "gpio_holdover", + "gpio_dm2", + "gpio_anlaog_sel", + "gpio_ieb", + "gpio_analog_pol", + "gpio_dm0", + "gpio_analog_en", + "gpio_noesd_io", + "gpio_dm1", + "analog_io", + "gpio_slow_sel", + "gpio_in", +] + +pico_pins = """ + porb + por + resetb + mask_rev + gpio_in + gpio_out + gpio_oeb + gpio_ieb - missing ?? + gpio_ib_mode_sel + gpio_vtrip_sel + gpio_slow_sel + gpio_dm2 + gpio_dm1 + gpio_dm0 + gpio_loopback_one + gpio_loopback_zero +""" + +ranges = { + "N": (23, 15), + "E": (0, 14), + "S": (38, 43), + "W": (37, 24) + } + +def my_range(lower, upper): + if lower > upper: + value = list(range(upper, lower+1)) + value.reverse() + return value + else: + return list(range(lower, upper + 1)) +for side in ranges: + limits = ranges[side] + new_cycle = cycle.copy() + if side in ["E", "S"]: + new_cycle.reverse() + print(f"#{side}") + for i in my_range(limits[0], limits[1]): + for pin in new_cycle: + print(f"{pin}\\[{i}\\]") diff --git a/openlane/picosoc/signoff.sdc b/openlane/picosoc/signoff.sdc new file mode 100644 index 0000000..88ae814 --- /dev/null +++ b/openlane/picosoc/signoff.sdc @@ -0,0 +1,58 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name dll_clk -period 6.666 [get_pins {dll/clockp[1]}] +create_clock -name dll_clk90 -period 6.666 [get_pins {dll/clockp[0]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] +create_generated_clock -name spi_master -source [get_ports {gpio_in[38]}] -divide_by 2 [get_pins -of_objects {simple_spi_master_inst.spi_master.hsck} -filter lib_pin_name==Q] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}]\ + -group [get_clocks {dll_clk}]\ + -group [get_clocks {dll_clk90}] + +set_clock_uncertainty 0.1 [all_clocks] +set_propagated_clock [all_clocks] + +## INPUT/OUTPUT DELAYS +set input_delay_value 4 +set output_delay_value 20 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +# set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +# set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout 20 [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] +set_false_path -from [get_ports {gpio_in[38]}] -to [get_pins {_34238_/D}] + +# add loads for output ports (pads) +set min_cap 0.04 +set max_cap 0.04 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.05 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans 1.5 [current_design] diff --git a/openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v b/openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v new file mode 100644 index 0000000..b913941 --- /dev/null +++ b/openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v @@ -0,0 +1,43 @@ +// OpenRAM SRAM model +// Words: 512 +// Word size: 32 +// Write size: 8 +/// sta-blackbox + +module sky130_sram_2kbyte_1rw1r_32x512_8( +`ifdef USE_POWER_PINS + vccd1, + vssd1, +`endif +// Port 0: RW + clk0,csb0,web0,wmask0,addr0,din0,dout0, +// Port 1: R + clk1,csb1,addr1,dout1 + ); + + parameter NUM_WMASKS = 4 ; + parameter DATA_WIDTH = 32 ; + parameter ADDR_WIDTH = 9 ; + parameter RAM_DEPTH = 1 << ADDR_WIDTH; + // FIXME: This delay is arbitrary. + parameter DELAY = 3 ; + parameter VERBOSE = 1 ; //Set to 0 to only display warnings + parameter T_HOLD = 1 ; //Delay to hold dout value after posedge. Value is arbitrary + +`ifdef USE_POWER_PINS + inout vccd1; + inout vssd1; +`endif + input clk0; // clock + input csb0; // active low chip select + input web0; // active low write control + input [NUM_WMASKS-1:0] wmask0; // write mask + input [ADDR_WIDTH-1:0] addr0; + input [DATA_WIDTH-1:0] din0; + output [DATA_WIDTH-1:0] dout0; + input clk1; // clock + input csb1; // active low chip select + input [ADDR_WIDTH-1:0] addr1; + output [DATA_WIDTH-1:0] dout1; + +endmodule diff --git a/verilog/rtl/clock_routing.v b/verilog/rtl/clock_routing.v index 9caecb0..07c5983 100644 --- a/verilog/rtl/clock_routing.v +++ b/verilog/rtl/clock_routing.v @@ -16,7 +16,9 @@ `default_nettype none // This routine synchronizes the `ifndef COCOTB_SIM +`ifndef PnR `include "clock_div.v" +`endif // PnR `endif // COCOTB_SIM module clock_routing ( diff --git a/verilog/rtl/openframe_project_netlists.v b/verilog/rtl/openframe_project_netlists.v index e0ac790..21727b1 100644 --- a/verilog/rtl/openframe_project_netlists.v +++ b/verilog/rtl/openframe_project_netlists.v @@ -24,11 +24,14 @@ /* */ /*--------------------------------------------------------------*/ +`ifndef PnR `ifdef SIM `define USE_POWER_PINS `endif +`endif `define OPENFRAME_IO_PADS 44 +`ifndef PnR `ifdef GL `default_nettype wire // Use behavorial model with gate-level simulation @@ -38,3 +41,4 @@ `include "openframe_project_wrapper.v" `include "picosoc.v" `endif +`endif diff --git a/verilog/rtl/openframe_project_wrapper.v b/verilog/rtl/openframe_project_wrapper.v index 3315259..ae9b568 100644 --- a/verilog/rtl/openframe_project_wrapper.v +++ b/verilog/rtl/openframe_project_wrapper.v @@ -140,4 +140,7 @@ module openframe_project_wrapper ( assign gpio_analog_sel = gpio_loopback_zero; assign gpio_holdover = gpio_loopback_zero; + (* keep *) vccd1_connection vccd1_connection (); + (* keep *) vssd1_connection vssd1_connection (); + endmodule // openframe_project_wrapper diff --git a/verilog/rtl/vccd1_connection.v b/verilog/rtl/vccd1_connection.v new file mode 100644 index 0000000..b237744 --- /dev/null +++ b/verilog/rtl/vccd1_connection.v @@ -0,0 +1,23 @@ +/* + * SPDX-FileCopyrightText: 2015 Clifford Wolf + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + * SPDX-License-Identifier: ISC + */ + +module vccd1_connection (); +endmodule \ No newline at end of file diff --git a/verilog/rtl/vssd1_connection.v b/verilog/rtl/vssd1_connection.v new file mode 100644 index 0000000..5c65cb5 --- /dev/null +++ b/verilog/rtl/vssd1_connection.v @@ -0,0 +1,23 @@ +/* + * SPDX-FileCopyrightText: 2015 Clifford Wolf + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + * SPDX-License-Identifier: ISC + */ + +module vssd1_connection (); +endmodule \ No newline at end of file