From 87eac64441b90a9dabaa5a938d6a88a5651a016a Mon Sep 17 00:00:00 2001 From: Tim Edwards Date: Mon, 28 Aug 2023 16:08:50 -0400 Subject: [PATCH] Added corrections to verilog source and configurations for the openlane macro hardening and top level synthesis. Removed old files that corresponded to the caravan design and not to the openframe design. --- README | 30 + gds/user_analog_project_wrapper.gds | Bin 4812942 -> 0 bytes lef/vccd1_connection.lef | 16 + lef/vssd1_connection.lef | 16 + mag/openframe_project_wrapper_empty.mag | 4982 +++++++++++++++++ mag/user_analog_proj_example.mag | 18 - mag/user_analog_project_wrapper.mag | 2362 -------- mag/user_analog_project_wrapper_empty.mag | 2091 ------- mag/vccd1_connection.mag | 26 + mag/vssd1_connection.mag | 26 + netgen/comp.out | 2097 ------- netgen/example_por.spice | 213 - netgen/run_lvs_por.sh | 24 - netgen/run_lvs_wrapper_verilog.sh | 22 - netgen/run_lvs_wrapper_xschem.sh | 22 - netgen/user_analog_project_wrapper.spice | 336 -- openlane/digital_locked_loop/base.sdc | 28 + openlane/digital_locked_loop/config.tcl | 72 + openlane/digital_locked_loop/no_synth.list | 245 + openlane/digital_locked_loop/pin_order.cfg | 23 + openlane/digital_locked_loop/rcx.sdc | 28 + openlane/openframe_project_wrapper/base.sdc | 55 + .../base_openframe_project_wrapper.sdc | 517 -- .../openframe_project_wrapper/config.json | 140 +- .../fixed_dont_change/pins.def | 2784 --------- openlane/openframe_project_wrapper/macro.cfg | 5 +- .../openframe_project_wrapper/pdn_cfg.tcl | 128 + .../openframe_project_wrapper/signoff.sdc | 60 + openlane/picosoc/base.sdc | 57 + openlane/picosoc/base_2.sdc | 65 + openlane/picosoc/config.json | 97 + openlane/picosoc/interactive.tcl | 57 + openlane/picosoc/macro.cfg | 3 + openlane/picosoc/mux2_map.v | 13 + openlane/picosoc/pdn_cfg.tcl | 115 + .../pin_order.cfg | 1679 +++--- openlane/picosoc/pins.py | 64 + openlane/picosoc/signoff.sdc | 58 + .../sky130_sram_2kbyte_1rw1r_32x512_8.v | 43 + verilog/rtl/clock_routing.v | 2 + verilog/rtl/openframe_project_netlists.v | 4 + verilog/rtl/openframe_project_wrapper.v | 3 + verilog/rtl/vccd1_connection.v | 23 + verilog/rtl/vssd1_connection.v | 23 + 44 files changed, 7235 insertions(+), 11437 deletions(-) delete mode 100644 gds/user_analog_project_wrapper.gds create mode 100644 lef/vccd1_connection.lef create mode 100644 lef/vssd1_connection.lef create mode 100644 mag/openframe_project_wrapper_empty.mag delete mode 100644 mag/user_analog_proj_example.mag delete mode 100644 mag/user_analog_project_wrapper.mag delete mode 100644 mag/user_analog_project_wrapper_empty.mag create mode 100644 mag/vccd1_connection.mag create mode 100644 mag/vssd1_connection.mag delete mode 100644 netgen/comp.out delete mode 100644 netgen/example_por.spice delete mode 100755 netgen/run_lvs_por.sh delete mode 100755 netgen/run_lvs_wrapper_verilog.sh delete mode 100755 netgen/run_lvs_wrapper_xschem.sh delete mode 100644 netgen/user_analog_project_wrapper.spice create mode 100644 openlane/digital_locked_loop/base.sdc create mode 100644 openlane/digital_locked_loop/config.tcl create mode 100644 openlane/digital_locked_loop/no_synth.list create mode 100644 openlane/digital_locked_loop/pin_order.cfg create mode 100644 openlane/digital_locked_loop/rcx.sdc create mode 100644 openlane/openframe_project_wrapper/base.sdc delete mode 100644 openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc delete mode 100644 openlane/openframe_project_wrapper/fixed_dont_change/pins.def create mode 100644 openlane/openframe_project_wrapper/pdn_cfg.tcl create mode 100644 openlane/openframe_project_wrapper/signoff.sdc create mode 100644 openlane/picosoc/base.sdc create mode 100644 openlane/picosoc/base_2.sdc create mode 100644 openlane/picosoc/config.json create mode 100644 openlane/picosoc/interactive.tcl create mode 100644 openlane/picosoc/macro.cfg create mode 100644 openlane/picosoc/mux2_map.v create mode 100644 openlane/picosoc/pdn_cfg.tcl rename openlane/{openframe_project_wrapper => picosoc}/pin_order.cfg (79%) create mode 100644 openlane/picosoc/pins.py create mode 100644 openlane/picosoc/signoff.sdc create mode 100644 openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v create mode 100644 verilog/rtl/vccd1_connection.v create mode 100644 verilog/rtl/vssd1_connection.v diff --git a/README b/README index 357179b..211c3d3 100644 --- a/README +++ b/README @@ -161,3 +161,33 @@ Build notes: Chances are good that this is due to the incorrect floorplan DEF (tracks and sites do not cover the entire die area as they should)? Need to look at output. + + Result---The placement actually covers the area in spite of + the incorrect list of tracks/sites, so that doesn't seem to + be the underlying problem. + +(23) Continuing 5/9/2023 after break due to travel. Committed all work + so here's a recap: + Do: + setenv PDK_ROOT /usr/share/pdk + setenv PDK sky130A + cd openlane/openframe_project_wrapper + ~/gits/openlane/flow.tcl -ignore_mismatches + + (had updated sky130A PDK and needed to redo the "/// sta-blackbox" + comment line in sky130_sram_2kbyte_1rw1r_32x512_8.v) + +(24) Continuing 8/11/2023 after the openlane team worked over this. + Copied over all openlane configuration files. The openlane + flow has now been divided into multiple blocks (picoRV32 core, + clock routing, DLL, and then the top level wrapper) which are + hardened hierarchically. Macros were added which connect to the + VCCD1/VSSD1 busses (although preferably there should be additional + connections to VCCD/VSSD and VCCD2/VSSD2 for robustness). + + Note that I am attempting to keep the *unbuilt* sources in the + original "main" repository branch, while working on a build in + a "build" branch. It should be possible to keep a minimum set of + files in the (committed) upstream repository while being able to + generate the final layout through a series of known repeatable + steps. diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds deleted file mode 100644 index 764b362000efc01476ad7f9605caba776e46c9b7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4812942 zcmeFa3%Dg$S?9gG&!taypVOCghg=~c5F8X7FepM)ATeAFw}9a)(_#n+;U+=AFEWZT zjyFC;WgHAh5K$Qh0l6xJ435a-B?9t|Jj#p=qY;tZlfItrKHvZMzU#kh*X~oVWVb_R z9@5X#`K905zglZmt*TnJYSpUI;%MV&Zgl#FjiVnKEsstZZ5iErG#dZ^eYEV%jf+2g z`K2%0^XwNt`-Lxg-kz7f>?JR_^pcnFdF9KV{nD3S`m)hz_uRsFJ>q8`eBmGe*3A$3 z?hpU#Yp#CA_l{0q*f@ICXzS>1`uh!2>-$%Wi>*VYc7 zJQ{8MU2`;=`^UAlBab&Deyo4LO#je(l+VYEMhj2uxOCat8gWz03t#2?kjnd)ChJK* zNqorHM)~GguJp~!$NV>&l}|crYy00o{yUN%;-;2Q=KtSJZok8yQQoiCw))qm@^q=T z*=Nj%Px{Xa-`npT?Ylo$`qy?`()K^3xT)oZuYLU>rS}Ar^`xI9KIALk?~Ub3-^_f> zz1OUK($RKP{lPcM4{=lR$^4%;x&4m5H1oRQ7tCDO(U+PLpY$)B^k=C5nSR^;N9I&d zu2Ow}SH~soOXB9HtJcYX^N&6?y|oP;@raw1zxGZ%ol!3Ch)3KU_T{amx8WTfm;QCe z&C1{S4-<%9QL(uuGfBgyXyGA@3^SCNZed_`_T5&G4cXD{oUPh@d+6>hy6@v z{-R8O%+(e*FB(c;+gbZ<{DT|CP5EY=g0cjRaFZ*$P6e0Y?9f;x9c+${Y07o@ka zdxG*JZVvic&Li*2@|#DWnsHOtwxa&4tnw+hiAVX(VL!{swUhFjT>B`$S^1O`kMf&% z#LZzp%X#?eS$^|~+SSwx^YGK_^5ao{6OZzn!+w@i%cJ}zZ3oJ4RzBs#qx>dbY?sDi zKg+qgkmVoq-7Rh|440oef9OipJ&u*e)%#Nywa&&vR}T3cvv?fq9arz4akKI%=juY1 zf6RAh`B%*%|Lo_3YQu8;n}?pMyeMuSeD84nNnguj`hx@5~Y7h$AvGd{EC}eK6(C{^0lAO!0)*FdVJN1MlGN8&!0@6>m==Ou0JO2 zZsO)t`*+IE?M(e+d(#f)ej?WkV>=9{&wUQ<#tpyFk#=X?|1?guAA9L@o;p0Q-*f&7 zs@-&0^-tIDB=Mp0D_xzZv^>vWK|JDS<&#g9QOAGWzcq5+AZ|__{}cIFnRWb8PdNW2 zb3btXXMeQigWHeoOTEK4*$=thlT-CC({J~0hd-bD>xj~d`#_QXPTX8~|NH*${Pd3A z&=K#b;%4Q~aQ`=ve{J_&q$_^3Blm5@&EfR5-?i=W=g#gJ_kD_+!@kP7pL(nF&09N0 z{Z-stxBkZV(|KkOp7Oi7W860>ZVvld&o^?uRQuJOJG<5YMwRi{`qR>ncDl+M_b-iX zi>UvN!+xf-VOOR<=0{uH+*PN~zKZ(?Q~Q$i*{8ulpLFtE?{=%(@!4+o@+y6N(l@!5 zWV*?S4{X0&|Iza)zy6vxw77YGoj%73>5r*nDcX2R!*zR9^D+HZ}+zS7;#`A^&EtsUdMr?|Q9`EOkR@s!@?j?r$~gU|61@yYyu_vH2K z@VPo}pQq#Z6CIZxr?Mz+YWbjl^e1)PeL(5|Xvc-CRW8L%Eg$rcyijSKtlvM$R9@&m zexXTx$XB|*6U#>%f7!$%ZdN||)b_cQ{C8ZvFyp3{NB%dBj;kO485dl9!6Toc&9q)W z{`Jq$^-KNuyMEKu{!l;u^%9a1pWKcQpWKiC^kdn7f8uM*?7#n~A2aKI{2QN_+i&L^ z&D{PsQa7|e+VaWt^Ep7fj(z1%l+VZL;m3nJ(tl6ftorf4@+T_ahg9CLn5-xLB=KH7 zm`GpS?ZjBF^v%r2t`p75Cmmh?-$4F5k{{=*EuSoZuOI)0f70=G^=Nd$-AwK1OV`$J z_$M>slYXxs|0|Ep{_@@L?@0eWakJ{j|H@;tzx;+DG+9sjN#ecjH<7;b{U2iaX!rZg z%*P4;!K{4J(RNcmKE6r+z4O(UPnN&ekAKt8==eKlZSB@~m^y!4yS8@I&zQt}`S1C+ z{aJeZRDW2{zOSTr+n<@We_$m&&bj!UJ4ye*idp+SKfzmjiJ9KvCzzG5^R(_YcxJ=% zlq4Q;v-W92;cdFjOpmq^>uJjew!h91I)3n%ztv1{vyLD9wtUcEd1`t)UTLPcqP`gX zwtUj>J%^d${MGA+<~&9F`=*^8X+IM;r`n-C|E7@_!h?|waPwj2I{hK@D5jQJ; z|NGKA@T`t_#Ldb-sEae{(+6YX5jQKJz8XCGkW4({X64h@ghwBoiAUV5eERJ0=)*Me zh?|v9Unkzz=W|4zH-C%r>pXW+NA5p~o5R~r-fDV0OJqF|kGNU+JZr>TJlGMBxLNs| z-j?3d<2vFIH!FW>e|npBA5A_W9&xksw_KRsmc1SEh?|wa^)2Zws|`r{5RbT7`OCMZ zxANwWc*M=h-}b2Vw%yVZkGNU++pkV<#|0hnh?|wazOf@7adX&LyLW*)C%(HQ z&%cSA!_TqR{#CuF&hAouHK}{V&0#;&;rTb|kGb07X7L>P=2PXV4RZ4zb)^4>xH;7i z)4TrO^5XPvRU4A^Ks@4R<=_5&>Fr(Yh)3M4{Jk1)M7sO9L2JY#ZdU$*UrX=cqdVde zH!J_(t?34-<%to+sIr+0W) zM?B(Y{+jqvuNy0$dWuK=HSwcfH&#CN6p#9A;zzx1tbFRJmglpBM*OJP zjl;h7k?JWv_19z^RMhLn%BOzfQGdqf-~ed;M5_1DCYdfiz0 z)Kfg_uZbV^x^dXgddgS~>hGA^{M28@W(13IRMb;E>hGBHB5oEw^%Rf#JEpvdn}tt3 z#iRa?DKFw?;ZslXsJ~;%i?~_%)KkvAy}$AzZVvicPjRWgW3IKhS@_gXJnHY5@*-{) zKJ^rj`a7n)h?|8^J;kH`jwvtVX5mv$@un12K;%4FR|AzEDh9@X5;%4EmK0p1#yCx_v;%4D9-iN*; z>i(F-CjSol)YJ6le`SKSJ&2oyPahZQF8%ccg=3>Wpi zxLNtsQ#|UeiAUV5eCjD4_1DBBZdN|^RLi6Ons~&`VL$6B9`)CxPnG&>RzCFl}|mzqyCzB#Ldd5p5jq|O+4b}u%Gpm@vqchlku%Ij z;!%H1{HWKB!+zFN#y?Yk$JGB*e^RHj1^nZ5bSu$~RY8=nR{V)BT>D{Wa zFsujS5jQLU_U}t??_x(h;%4RVeNTG(G!BLIAs%tF@(=u4dIulf5s$c8`3G-J@6ek% z;t@A1|M34zk8xY%AL0==E1&Uact`)XBOY;c*w;PRS$LwxT$qd@AZ}JZ$ZXwqNXsN8GIZ?cb5!_J8PzN8GG@&NDna=KM3J?xiDc7UvJnGrWJm`N!n_1LEfJ zdjy#d&%R0Dapo5Q}Yv(L+G-%UF^G7g%!se9{z^S7?sA4%`#OFQBbH!J^^?djd} zdmZtJo0Wg-CF$L^(h-liS^2kpD81XC-4TztS^0anrnmP49r1{pmA`LKdiytb#3OE2 z{(fCQ_kTve)%DZFBW_my!AdpG zdt5htP<8DkI^X|jN8bM;ZdUJs-F6_oeSgpqkGNU+2OgT&R{nvN^te~Shxo+J%4eK9-kOeG6EDWEHxB!% zXWCai9ce*%3d+uQv|+ z*-y#)v(bMU)V4TG+|;%le2-T3<~o*Zf4|O5kLRDPCzto`zk2m2`Y+{28#fgmuq_|h{+uV$i}zo&9*9retkRG7Tja(2FO7J_ z&C1_(QCwf5|AzMN_&Rn`yPoCpf&Az7iFPynKW1FV;<`0neyrc#-@8%QAFg*d{cuN) z8RF*D_~opB&A<7a^ltti9r1{pm4D0C>D_ukM?B(Y<=-}!-fi#dh)3M4{M#=}Z?CQ+ zYzK%(+^qb)x_030)3w6HBW_my{&UmYuk$@V#3OE2{(-lpckpo?@raw1e`srZhd$5| zkGNU+tCy#D`0gF?h?|wqyVI2Wu6Y#L4muB>&304&{}mmFx1WChcj?W)wqsoT6gMk> z;llJ5_IAW0ZdU%{`_kL=td4lZ&B|XoExn~rbi^ZWR{rKI)7zqRu6{Ryc*M=h-}068 zw!Wbw9&xksm!F*8@{x{s#LdcI`AB-(F71d%+^qcV_eyX3wH@(@o0Y%g=hEBxtsU`* zo5OzICoP^UzFYg;yspK~bJuAf&zcf=!ZR{r*u@9j6I5Aleb!+xG8 z<}SnJpH_R`$xLNoyPF-HSe__gtxH;(Ob{<`w z+uz)9LB`F|)x+B_#+iRExBsYd!)tQ;o1@PS`!P;j9@`xs;t@A1pY5#WvHeXv;^wfQ z+Ziv$Z#QmuO~%d2k8#%WV*GU@9&vNn&;F&OKbHMZ=GuKTZXW%y;q6EN5FY(UCLVEf z*w=aY20R`6ztVB-z8N-Jx?_SY@1O7GUQI^q#G zEB|)Q|3vz?tFO?+BW_my-j?ru&q*KR5jQLUz%QqF@Vh$V5jQLUV9WO*jdvpd5RbT7 z`G@~1y(2%~5s$c8`A1v6kN#u&5RbSy?CTtHGM@N{9qG3sZdU%r$hYe2H>MBqh?|wa z@Qm~p=R4vNH!FX!<$Kc$(}#G(&C1{Wru4QvvLhaGv+}pLd~f}o^dTN`v+`H|Hoa{> z-4TztS^3*rzPI0;KExw#PWiog;J)%DohScD*V}7NwLx{>`pTC~;=S`<&%f~p^tCHO#eL&}1-G7_RA4lA*=8?PY3+e6CwGSWS5jQLUz&+DjJ*6Wa zakKIdUy|P1GdtoDH-~+-8{Uqm<0rO5a^dQXo0UJW{e-_MwnGw+xLNs|b*;o-j_r`d zBW_my%4O;Ai0zWD|&to*gt;_2_@9r1{p!@lbH&h(gXh4sL>U&^>y`8!na@pfL(5s$bz?CZGsr0Uv> zRNvp#aqY7iHxIvPc>6KeA^zb9cElrY4*SaEr|_r)9oIgaakKK5pPb&xLptISH-~-B z6FTo*%K4)sc_(gq9!CvL;X6vb`ghwG(%bjBj(Eh)%0F<=^j1&lh)3M4{KJ=|xAx4A zc*M9_{*^!l6b_;%3rxG{T;C# zIDe!^9KS7(^T(!c9?la-J4W{%o!$FB*D>GIdU5}ITF3VtK zXT9{j^+71tiSK_5#Pg7e%kUf{r&V=JyGZ0M)Ki&#rLxQu$O*s9!=&T zTzrfCYdbD|M84vtmQSWX<*Uv8Y#ldGFj-IfN#aAkj+^(!a;0x(J{Z?RzS{CK{rwk^ zf0e(H{4h79sraNnF%PHm{Tb~$T`%vz_rP8~IS=e%o&Q$%$mh5TYM;GT>(zB68S%;V za~yE{9$d`F{{3qA|AO)yR9UXkeZ))6h)?>xc^nV!&ui}DW|M27%C75SGUAi|#5iQe zYpcFKO?+3!rHvUkH$APl{dzv{D&q4Fu8Bw7tbF=wv^@H8Og!S|urF^dedb`mhf5nX zZdN{XHsCP_iHS$t9QL!^^S_z-H8~d99_IXS4sE|Ix0XjaOwL90E1H$hy!*_V$M+CS z<|89+Dn79P^LNa*f^RaPP^`C6@d01;iJ{$vK?-kE!)V-0VL`>7BpHC-44{f0K83h?~Rj@n$}G|CjumK;<9kHf?(sb$wWo5uFt)>B z`tdy?wIAYpM2)mNqW#!7)qd!uAIJZ^e*YjHZ$Vvm)rTI}?;!D^@+(~(=g0j$A{~Fx z{%z#AjqedPP91*}`Oo8wdcyIS%>BUihyB5EsQBRai|-Ms{^3*qay{Pe$*KC6>9_m8 z_?`~!zqcx_#@PP*wJx^5xbF53U&o2=8EE&#_jDSg-KV%Y?B{;kcm?}U$4uj0-_yzM z!a1D!t#-+=`@d~}w-ciMp!NdoINCwc{s~rou7CU;o%8cJI(kEkn}grO$>V4I9i8(@ zU+cJ`#mzxK)A4t7%&+t@ zeyShtdmr`4iyx(1l6CvxZq&KsfEMn&%GCK&ZIT<+Kc0;EWm zQrD4x)bniZlVrq?_1k%r@3zqYcEa5{(*H)>toq^j9t{0nH!Yj2C;cSxUOni2&t}K% zu{^#fqu-75vE%m4Pg`E(U)PU6%J>N{8C5y--w%4Kimy3 z%Kk3iU!mWN_u%M%%k@IyL+Pvk_m#1Hbiyy0nGfF2q93j;FY>SQss9b%Bp=Qftoq?@ z-m3bpez@&>O;yxORe!dc5uZ%I*AI7-o`=x?wnNWTlJayey6ID9#3%h;Kin<HEQ>&&R|gZdU%d|6OfZ{Bi%e`mpeG`8ww>x5L!d)%v5)&y4I@rawlzS_C>q3(#E?zr&wjGM#HQFPuvSDx{PVn$Y6mre#~^Z zW|6+hwI}k`IP9yP`A1yq)LwjXNAf}39DWX}cG_Zkd*9O$kGNU+d`F4&`TmiKN8GIZ zcwQ?1&Uy~acZg^!@ckf@{XpCt&cCj6PfU;R|KLMB;%4RZ9Uwfu-(%ttH;4VKXFT(u z{*9^aN&RDg1P7mEXFa3MMg1F7`Jw)ipWvXM^^9jY)IXDaM7?ZOTW+BKXFbEC{+aku zFB>bLdWJ{+Gx4KdHda3M5AV);j!!+KtxNqg*$+`K8;A3s^$d@CXW~b_Y^;3h86Ne| z#E*K}IP7Qr8tF1g{WIB~)H`!{975JF#tTsYO!7g!Gl%`GXY|)o|Hf2)sDI=qI5-X= z>lq&PZ%ldAKm1_fQ_t|Ie`CsvxLNoy-a`JJH4cM%#&Zkm-L2?vSoqX4JnG+=@*-{y`qVRRWIc1f@zW;j$@-ThK6L(}p2c$2KQr?|{UbkZ`QZ7B zdBk~!&ivnFDnAi72gi|6ztY?Lo(al}xLNqj<4wBEzdfeBh?|8U&$;B^S>tG!2b(rF z^H-0lws^$N!TeLd(qsN<{Ghyun}yFj)OgGvJ*K>fn}dGVKgLW@|4jBn)XT=X$p`h%RA1)c`ICBvNBuMLh?|v9J;S5^nRrnz z8!JD?vD}H{*r;dp^-}*#_CwUm#^L;DJ;S5^nfTN{v+}8Dc+@`=FY0CEu%GpdxxcA@ zCi{VUXAY0U%lbv1IrYyZAJjW@*w1vByPWh*<~ApNvl>Tt>$&pYL;qVx#vc$jr^X>n z^}nTe`$Ib7F+ZeP`TJg-9`inu4~R$HtbFE?#A6OQ6OXuA`G-E19`C>7Lp8U0Fn3yE(E=42bPl=mTUVN9L5s$c8`SBeKdGTF}Mm*wX<;Qm{ z8u5sml^@@+khgD-j`>DB;%4Q?cP!+^cPSe2h?|uk-?5Mv-=%28BW_lHe8)mwe3zmT zFTQKhSo!fC3wd07C_ls}ZdN|ms2D%3wt|UA+^l@sSa`H`O+4ae<#YbS%kk7nJmTiC zpZB_29(@%i<5{`)H7lP!8a(>UOg!Rd<Zyf$DpHp2q zPv^@wcVzrNakCo7zweav4t${_9&xksxn`06k$ZN;BW_my@x6zj<1F&6wDinM=>!+v zpK)_Iebx8Kx9Z!M(ua7&&C1_;NqXDv+YyhrIqb8aw5=Y?{_Dv56U5D__b7V%f8QzT z9r!{=JmO~MbKN2RBlqlxN8GG@-uKY*JMtdLME==N>2tnfJ#h68GHwp1&wfg8^Ori} z5jQJ;>m})JyKhH4;^ve;@g4>DSxQUeCg{I688<}SoEkqd<#U|p{acdtc)c*r1C#v+ zJ)d!wJh#97PdoD5KE_`*PCfta`HZu~Ke*fxKgM4+RzBk_@sB*dBYup(Y^?m_dk;tT zuj`z~S>kWm-4Q>=Up7`g<1F#FJ*6XljK6Fg_FMnay!IFU zM?tkE4ih(t_xg|e`)@J5=s%Lra~%A5ejTj+=s%Jd{YSy{d49usa`|xj(SIcG&Up_? z`-%Red6E93Ao(V4R^`urBE0B73Z}<%8`eWV((v}rej@znKMJPD^Ber=Un=%rjGulF z$FGi=M*0nin^X6X{r%7QX?Zbzx)G0Y)n?_#_-T1De!3BlxLNrzep+>I*7wk2{IvWS zKi$ZEGjVe`eU4Mg&+*$4kGNU+F@9QpjGu1ABW_kc_vy6LxPMQ!>%ard`uxrLUG2N@ z&A1LIZcerTa{ssYKW=>>=N;X@f4(Eui|8m}%9`on39*9TWto%(^q_=c-M?B(Yn15RbT7`CC7l-tzN0;t@A1 zf2HMnYAzr4 zW1P{$Xg}zjX?{7|56tJB%ZL3KCnPV%ubJ{9ZVt|etn>b#ldk^0VuD|8akKEb&f>@T zF;iZ|%|So6&j$6iv)#u0Xp5V>hRYx0V|1?-<7XO~2bs88%|{<#?0mqt9|=CGgJ zNz3#7*+%9;X1kh|&vwF(@h6RV#LZ!!?X-4B$B*=`Klr@I%k!r(Z^-rXK5s4`-v05N z{l{px%5P*IX5wZwA2RJvJldZo9&vNnr=5r=s{Lp(4>NJI^5Z$ReA<8b5RbSy?C193 z{bRPD$$Q9bH&fr789aZ)bK;Y7`!TOG+mU&kBW@P+DYKpMV*G(AFXHB)pWBJ~oMZfe z`SBJv3qPK-%455+o}j#ln}dFCr`5}I`$d;K z?Wgo^*D(<7cMc3gO|uIGxI)jS6Kbos$jakH9FIOgGyfAmS|Lp)qeACA-Xx5Ref_$A*NH;41j@tOX%*bW@O=@Z9q%Om|w-F(Jh)jTRM?aycY^b0P& z;Jl~kbkVj$Z$9H2b-g=qAN7M>WvUE+qw9eB5t0#~+zt;PEpFR5YV*Nfe~tFJ`Yv{T zzo~1Y+A!B&V@7<^|CgVKzg--p^fEJ2m~G3h5+ zKICiu4)u3xdCtQU#3yc6ex|?w4e6WY$N7qRSl-Y}zc&xd4D*om<`a(jR&>uB^SCrF z=^PmIxHK;Qc`yAbU*~+?1I9cqL7goAJpClghx|5A%Y#TiemBlX%;Tc`#5^v8KIy2< zt!qHc)X?h=K%6~o)>d-tNthJ^y4{zym$@}OfR0}DgCy5GX35>Dl?pa zdgJh79u=MYVjh)7#ze+EDveX$we0yZkBYpQN2L)z=22;^{Fp~YUd*G?h#&K)G**7h zqarWnQE9}Fc~lxJKju-97xSnz;>SEHjg=qssK|?XR2uPP9+k$*k9kz&#XKsF_%V-4 zW97#@D)Jcj5@T9L{Fq0jvGQXc75R(-kFl>Je$1oNSow?<$7AfeiBH_DeBO1y{ z%8z+eSEHjg=qssK|?XR2uPP9+k#npZ-erwfO0djOiq9sxC}kec3fN4|#}^SCrleHV2i|GLh+F1?t?MeBk1F^@}Q z<;OfO@?svBM*Nt^rLpp39v68rk4qzd%;VBn`7w`+yqL$O5kKZ}X{`L1$3)c_VYZe<#GNs`JOH3U$gRK9vAsBk4qz7%;VBn`7w`+yqL$O z5kKZ}X{>x5Tk$<$jyduV@rj$2AM?1#i+Nlc@nRmA#>$U*T;#<(E{*sxk4t0a$2>0b zVjh=9{Fuk3vGO_2W8M@U|6{J^@gMWM@M;_-fUxtoYsVnJS=KE zY*T%Ba&mb4sh#%3Y-`^+^RQ$+qpeTQYS>^Kekl z@M0W^DKFw?;Zy(csefb2i?~_%F%CsO=RN$Oyoj5Hf7d)LY_~lBjk%`w`!6bP4sO4! zXL!`VG38PJVqPCO=u^M6lQxK-o}lJ0iMUzJ!*SO#vxg`Xc4m)UB)4gDKFyYpr7?D=F3q1 zGkK>o#&0!N^Kis`7w_Wymp;V5YaW*DQ=|S3Z@;W(^tHt}sz&lq&P&%~$xnUzmH!=wJ0cu_AKE1!CXNBuMLqh2;vKJ^Tb`e))t zy=)xzv!2C#DXMp4zPrWEh2i=a^Qc@&|CjoF8dvX6|CiR+IQ3ooss69@VjdOw5HIFY zX{`L1M@3%Dqtb{U^Qbgde$1mHFXmBc#E*GY8Y}a*RzAmh%$K6$f6RC1@gMUl*M@m@+^>inZv%?Ntfoa7W24#M(wEWc`U{}E*cw?%ZJj}?|(1-n8!sv2t=Xuh_&RZdQKG<03!iacRVhd0ZMRpJ#uh8}qm{;uAM3Kjv|f z7xTC@;>A2Jjg=qsxX6onTpICX9+$?-k9l0=#XK&J_%V-5W97#@F7md%CAR~_k9k}g zD?jFOkstH8G~&lRE{&BR^SH>1d0ZOtV;+~r%8z+mJ0W@$JN(o+&pwfwg1vP@P&?eF^@}Q<#XL3{g}t45udnO`7w{n8SFRKlf)x#4*Tq< z^f+JP!`0Vk+^qbV$3}i>bE6;e7s+BtBe!cwZBL z?|aPjwuoy#q~h<@rn=loaeLMim!HFTTjO0dXUSH_1k;IH-9Ow7q@V&({%%K-63wSd;Mwt ztxrhr)`K1Kh?|w~ao--t?Qz@0@pJh)#WFkGNU+8$Oxd#vkg4N8GIZIsKOO z=l;4Q9&xks8E1&k_(KzqxLNrNYw0a&3<^HPBW_myrst)%w6h}~akKK5K9%0)AL)ok z+^qa94^D53?sdpN#3OE2zQ=8k$6-GvJ>vLn`M~*4_t~2=-Ie!t#3OE2>2G^UdfQLw zh)3KU_OqS7_^aZlweK1?eRsyq#a|sh|8bwN@Ga?&`QOuDFu!Hkk9oY*?v8o98fibr zJYJ3KwqM8dP_+Ao^E=|lJYJ2JAM)(o-qo3$qzk2@7kJayVuebgE9eMUj z+|=^P`=_2C^I&~I$L|NV&l(r5*7cBiuo~w-FzoMBw((*ftVTTIX645`Sn^^XtVX<; z2dlC2V;-yzsQ&*b`AFguH-~*)cmFuOm$U*urB4eB_BzA;^wf=ahhJtgC#%a z!MZTxtBsW(^I*w~d9WJsh?|uk^IXY`d9WJsVjiqU`|6T9ePQG1Rimw=ndZT|_|Z>1 z?@>Bktv3(W>Lax8bk8{dF;ivu8NyhgPp#^CF!NvKdLi-NaWFBDmbTkB$8x1_W*{u9rKl5MVo8-g!f{IU;zc&xo z;m;_~I^WN!?>wnI>3E_)KN;~!zc-JS=6D)uo~xX*sF8WFh?~_sSj-*8yi5PW`gvt1U0`ukEAygKv@_=L=TzV9hX(RBs-p8R~y;96s+1 z@EnA9Sj>2SqPRKLkKFTl*Cn2#Z0H!zPZT#RKj+U;Ti{~+Bpz{d*w^pda|qsn#fS8N z6E`b=Jb%uS^m(TnkGMJPYd>GFegAgV@qgcuF^$B{;dw}OjJyC(f0@6lk@-uAo5Oyl z!6WM<3J?KekKburKG&@s#&hbmZ8H?<6!< zKIO!x{3d>Em&Rc~%gLB&%0H$~ACy1dZGpvm$anU8;FObdALSoY=dOsGMg9+6uflGi z{1bd9<>wsQSiA=t-wlw@eE6&`xZ3iv{Je8R`OO#`t?gnCzlW9U z=lw0xH)CwP@?{SDS;yl28y!0)ejyN1*NWX6>gll>bXQ zdi=UsjRWU8NxPZrk4d|mxH;AS?TzE)_+mR#|B|dH{bX#1$@2I7;~Phv=PAY!$N4L$ zc9X^rb6rpByfQcrxt+f>{x!~DK|JDSIuhR zGWP@5fA$B*zv6@2kL^#r!#CLv-Y;$Wv3~2n(6@GCe;rX;asMZ>--(;+?*HZ=JwLsp zH*~}!ZdU#b_kX$lB7JT5U8F01v?KRz#LeOKwcoYv@#oI&825dOo5OzA^Tl(i|GHl@ zuWNDh+;#S!`;T;-asP#H#yB9w&1xLSojZ;v%Q<&h=HHw@G2`akWp(*C>KZ}$$JDhX z?qAHgVL$FuRNuLO+1SW;1RIqj$7P+nICO&bMSj^nNR9^+<%!m7RW!xL~zh2oxE1M-A_KamioRZmk;Hi z_2ZMiiJ$2vBR;VGGkw0JM*3sk(BkI#b^4rZNPkS7i=zE)4*Ho6-yw_ZM{`(i2#E0^)?e;sdT;Ibn@raw1uXOdiN6$&Vs^>s=^f}6l``;6J;)PFn-1k0C zmx*9OAnQp#Nqopxxp@xq_oQ!TKH@ou^3#@=>F@u;^iA>+&p{d$pY(grLE<^a zTjXD>c0l9ON93QQ@;548_`3gkw(8mwOxBZrlK7CXy2^8mXOq5}`H1Hj%1>KfroaD! z^iA>;&oLSmpY$i5qbT2>(Z0J{>8S1ZRXzuL_2hHB!*7tcM?P(dp!V5YwO&1&N=AG# z{k)HC-xG;;`1k8Lq#YhqS+3FX`BF3DlYaj>iq6A)J7H1h(WLg9Vh8t|5ufyX_p$LD zbt}f+b_$jC;^vd<{NLHn5varD-=q$ce^c>t`_t}q`!}fS z7wuqkupd6RGj)yaKc@1-_9s8V!skApy3c)lGS@@?SzlXTx+FzL%+7G?-Ie*>h{m?q@qW#;*aU1g) zG)^6V6Zy~MjC#WPBbobw>ks>b<52Oz?HB#bs(1L*zg&;EdvdD&X8P^^FZwZQ|Giad zHOBT=``cW1`;YrU@Kk=a;mv6O?ZMY^Bo6zWzjcgUq3!jcj$g}uMAH9y?gym)L|xVK zYp$J|^~Th(cx?S^^@rnc+<)l)#2eVJikpM|fZFzI|BU+&&sQCwKk&g_RIpR2lGj_2r&hrcKDZ?0Z5oPYd7-=6-M|114N=C=>~(GN4?f3##0TpS`9JXK%)fc?|B<7(dEnE%{P+4n zkMFzYN=N;$2VSW3b*(km{%yw111}s-|Nn@7P<=Zh#=|$RzDmc?PpV9fhuU|o?`S{h z^mmmtp8RR*s#?Dv^by*A_tCmaGvbr=;Ng?~P&16D{g>}YeN0}n?ix={ zf1>u~rSvbF+L!(3X}x~PyT+62oS{DFXa@#Wm#)=u_);^LPuBl_Kj?G)9);=mD9mHt zr|91Y>)tuW5$K-TWE?>BgEme*Pw)Bk9pFVjXk&~cpdVCzF7KTOdp><9JkO`k#Ka?R z4yVukR(jD7s`W%asOlhb#sjqFliP11eeSc;r!S85VT>bC+#F6{`$qRc3vX8)*Yj}G zZXLCF2cd@;h*MhiN`ILV=j$Hk&Y=`kUa`o@F&0#;w8GTkN|Cp-2lt22m;9x&)mJ=`fk4^cMA3r$gXE~|s zv-cxM{-XSG%xF7s&Z7Kd4yI2zIab;K6IB0k#LdBeWXhM`o!Ji^=Nk1xjLEs^1^TyH z3=ctiJQZImXrQ# z_PT%>Ie)QuvR(|y3 z>t2KH%y?ReAN}}^!+!2Jyy(YoWLz)jezWqUpI%<{<2T|FH>dn#`|)3*^e@rAX!Lya zW;L(-UE|4h-k4W?d621g(_Q1qpJGH-$=Q&Wce?On;`+3gS*LZT(+n-SV zeSgRPcyi*s^H1+N%3b5hb*x{idibLqJ)e-N<D*84gimzbbW+AmEnm<7&pYNccb(4Jo0Ru0_v*Ov!}@>qgPBWzt+KsOX*8~gqrF<* z81MdkQrC*J^*eFlzvk~v`f!#gekjo*zU{=0n{LbW&ACsFM)$l-+oy4p^11CIZR19^+jf=Lq@25-ul?Cb{KRu}IV67S zYdW(0H1*e+EI;#&xf~K_yO`QO>ukT#e`ouheNW}>v)UKW>&Se~JXbUM{?(RiwY+h& z%Ce&JnZ$Q&&gGE!t}8pT{BESv$nsOJ&gGE!=@041@-tNbOqQSZC%GK1{F2J|?vDJ9 za+oU5dgZ;|<)zOb-`uFNJNMeIZD8uz=ovTZ-;Mn4v?KX@Nc@z~b!7R8*X44^@?9V6 z$nx#lekRLTek+$l;%r}Y;{pBq{#tJ8_qrd5^}>bc>;K=@k@*oRzp3@CSN=F&bR>_q zzh1xp@s2xG$M|DvdEs-Pk8eu6IP}JdPx=$je)YRA>NrxF@BMQfx2diWH?@4&->b5J zT>e`+E@)qJeloRu($Bu5-J>}FZ9PlnUQk`p++QZ&S6I56{x%X{Y`=rV=WgxD@(mil zWiH-bc{xE&ST(+oKBXQPa5+^;AIQcP&lTTCWtW%y1QJ?Si6Pb^D{=XeL*Pi(b zrO`+}@6mn-iQip)-6qRV)9+2q!(0&J5 zzVo3SS-!pf4zhfkwui~`W&Pe{yRh6`ydZs(IO{iwldegee3`__ze${OnZzl-Nu2Ft z5@-9F#M!{mK|w8F*85^C59)Ya`E~u-1VWd0zX67B>ge&)+e}I=)G{_+w7Z(f;tsu`smT_}zW} zv}3GdowS3yb!@udOdwlq!ul$sD*1~zu$aRgDNxl8qa>}CHygJp*xAo|rL+e=> zEuOT2{~o(G)^Ngq(K;SFS~_L%DUUx-?kv8JW&9^T^+~h&+uxP`tmz-Gzv07?{-YlM z-LvI?di%Bej=bmFF}k1k6zxvxsk!Y-x&KVXC+pcU{`Sk$AJfN&d3o)VS{x^)))#-w zw*QCnKTg;AaJuF{m;PEyzme}k@yFD&+p_%p_73F#`Z&%<-S(gMw>#gBT0WWnMd8oS z?B{)7+y7@hQ*Dq(>$2um;N#S{O_l4E<7iFQ}N0CALDc1N%~{{Rr-tO zdiR|Z`QP+w>6=R`5BWD0FVo+=BmFT|9{kPbj@sYy6X}~7B?c9^G{es$V5jTtNH?MT?7TWd?%8R&J_>1SJzj)mQ_;dDHZH(_HubIpI&Azji#Gwd%g9 zQOhUupT|!dd(Hhd_pNifK$_dWQ~O_WQ}IEcz68AaAL)ok+^qbC2dBsPt62}kBW_kc z@9X2yFJR&kH!Gj}Rqjvc9@mlkVB)5h50-y^YkHb5Kh^{Bh?|waaCv&%W04PtN8GIZ z#m}e5{Wm_uBW_myySLFZXZ|{gl+^qb&>9_cI z`*cS<;%4Qa@WJ$UeSb$h;%4RVe0+L4)h9$gARckE@@cE!?f8X`c*M=hr#}jR%jY`c zML$(z+C{N*F*(O*VB+7yXirm4Alr+3@JsH1Ua>m4EtorFZ&2cElrYR{qJiq(^@%=|{h-eB$_R z`QY|D>1WbA`J9e;#LX&w`hQ9HZhzJhFZzWWEB}PQPLF9^&B>C;XpUE1#^=@K`q^p{S{beBG1X1e&K-27(u znd#z_ep^16{?^ZDy31E}BwgZWmHyVxXS&N*nVBv=>9^&B>92ew)7^GyN75y3R_U*N zB-7n?shR2GlYU!1nEv*wGu<5*bR=EkW|jW-t25ml7nqqYKIymRgX!M z+^o{yc}1ps!r5k~i%#Pdqo%J@Gm-)5RzKwtO)ClTOR@Px?eh(j{(I>7R63rhC#S%uE-b^xN{m z^iN*RbWeGEN75y3R_UL-n(3bMb~Dq(C;hg3F#S_MpXr`e1 zI@3Ml0yERaC;hg3F#R*H$aL>{c1O}BZdU1^c}1ps&$G=;7oYUo^1<}!=hOP5|I#E~ z;%1fpS2@L_r9(p=@K`q^zVIcrhD(}%uE-b^xN{m^zUl_ z<#xZT{g>N;b|CeR_M2JNzq{IhdEDLA{>$w^JCgIxUG2Zz4tKTxqFxMMf9`7k<$3q6 z_FrxX+JRhm?rQ)2Z)^Yc-p|lm9!=f8O(F=>P8dGxT5g{9Vt?{NI88=bk@9|8dWsq5rq%&(MDF`7`vt_WT+8 zPka6h{hvL5hW^W*KSTdx&!3_Hu;(*wX!BduPk2ekEf?l^B2&vJ@86E`x9m;d+^Vr( ztk+b$^p_u#{+PF=zid9H_E+AVzPasD>6?lVrhn$UbneqwxqCjfBkw2@H?_Re-G_*ll@NItoDEW zPWvC9{SVpi#La5|^E>uC-k93&5jTtd&+pjp_$K?ExLNIg#;H(l#;=%^o48q(KYpij z<5PY}xrv)q`S~5?#vAjt^r`=C`QZLzIpxMTDK~MmD*vf($a;U8#$Rz9Kh0 zQ~y~H^`5v{)qj3Ry~i6<^*-Weas2Z;>OH~}h`|?~z_B(O2+W+x8?RR|k zKV-iXH>>^6@7V8nV`{%g+${D#zhl4So9uVuX0`w4@0;ajzEtWzq};^Ks{HXgl{>sf z%1zv?%Fpj8H~yF^cf`%2{QQn`2X=_m-ct0-V-;g`p@sE_xNL~-bdUl z>Oa4u-s79pd*WtQ|KoS6_xRL*NWCX+R`s86UM&5c#xK6FBl8;&H?@55`pfUOJUIO^ zznK0O^TD;h_2<$zm%lZAQ}HtW*qlXo{*uc`Q;PdkzF(|$B5H*vEn zfBa76$EW;|auYYJ^3zTuUD|IZ=@K`q^y7C*7oYSY=@K`q^l2xt-Dx+OYjwf*CF z+Wz=#f5>(xZdTi$b_(gzZZS!hxLKtizf-#Sqz_4#xLKu7JAv&^`@v+p6E~~vAHUOf z$7lOPwmWgN+WuUpDL2<`lX4R`tMbS1RBn9A4=FcsvnoH=Ny^Rj)1=(Q&8qzIJCz%s z@}^SnLg|Ci_QIrAHbk6-+G%@x3T-(2`t>6?lV9{=-ff0ciM?cYeb ziJMjV<98}IKIMm$o48q(f5Y9CuJ%9kC7JAZ;%2q~<9FKc`0W3fcS-x7IQzdXA1wc} z=5JVhhpv?m>bU92+Kpj{ZZyqh4viLM@*q!=&M{U)FmtXROFM9sVU(SRb4;;@?x9(g6yRV7EYXtw9xe7eTUCc?c`@brm zKPYFCf2i}!wThd^&UrV&)7*DK`IF~@sdu?`EWcL2yT(*Lbq&^u+@h&&_4EUzs0XG#d)8}3A*shI!2i&aQ1)t$rc<){E8J;Ee{289*Px*NcpZQ%5 zz4Nv~*P@+z7tY-HWc^!lQ}M~|-%EeTXSCmbNx#3MBk#ZwH>-EyW*7_6OMixEiGBb1 zneQFDI+E}0iknsbx2fGi`M0SZV&V}uD}UwI-0s`n)RFB@+^n|$3}aDx<)7hMZqHwS zW0rg6{En2HxLK8-HV^fVwvb7=iJMjVXBf-V%l{0|!h8Mm&JXBZ1MHspGdd=NfY^PzRTF{TNgCmy7CC;64*>`fs`~cyGtWOLWgK&y+WLed;|c zpW*sG<>&sHb*#uP&2hnY*!90ActrmuZa%rlzkbI(DgKy$l0NsItVi+T@@x6h4e6Vk zeh#U6+9#xIDqi}_C!{~-e@=hdJfZgKQ>FaowsX=q6(3B0=Wnattf;;` zX@Vb8dxf~UQutToz3v@&*R@xFXcuv>eFxfSJ%7jdX1;eEouKj^akI$(j{B)kUE6EN zUrq3T=-$@3#D2`sP+0f5c722e&`JTmGi>$JFs0ar2vMU(Zq^|0d5^@J+?b z^f$7dRDN^r+3A~#mp=0v;g9)&^qKdFd?-HX&(OZ@?f)6tw>^K`TeIBTFYHLUiJMjV z`5ooPA5-OyxLK5+b}jXfxn##wy^FY6)IWa5c?N$>?k$L$-!Q!WXSlxgw%-ix>z+Tu z^||M-d?e2w^eubLC4F?n&8fb+p1*t`w>xvfvi*bF?h!YO?a%Mn?s#KryGPtCw*L(6 z^IraEXrK3do(FDvnDYK}6a2EyX~fNk4cDI;+V{Qmk9Vx@=IgcJzEk=A_Y?e*{-3z{ zokjljJN6s?nA&gn96!Ng|84$F9rv%5|HO{WQ%Bs?^1<_8%v-PR&vRP#Lr~j2;%2e^ zmmZQH&x`Sc@*-|l{%Lvrz5{cm^!6WZQjWV>$7(PBPn~z4th~4T+29eiU5T4T`;Xsk zepLEn-je=i^HIawAAieQ`sUVmq;D!dxc~I+rqRN^MAk zp85F~KdHaFI&S(QFi9`)Ux&R_kHA#J`U2Hzp^9i z+W5L$C*&Nt@i86een!9lPNmn#vtrg`YJHRGt@{mw6VK9l25(Na@6+FwbD3}X zSK9X{slMr$Hs{o4B5o=^x&3-R?Z1_0>-Wm3$+usKn~ERnuVnghUY5UOK7EKs+^qa) z4=Ml4|1I+m$v1Ix-Tdz!y^!N$w=&K=GTZ*w1mCW_{ILGr$hR3{%tRyOL`cUZoy8Al zdXVkNdQGkW*z)flWqsH0qMXYe*^ZOjW9z|OzsdKuc;0044Ia`nwZ8SzyC3D<@Kfn6 z{Cr2&OWf3Yhy91=d3@oK9r;$z2E|RC=O_J#w{L93`S`?t(z*7k$#10Pal*BP<0beZ z)#>}|-=^YtEKk3;{&l~}cH%$fH`$I&ulpvOHu-Gd*w}H(V*kngPHl|owM~6#*wnPakKc^1;67f7x*S$ zxgc&gBlT8DARATGW^dHJS}n@`oh z|3-Ogj4Smk^NPACMhpDJj>Hyq#WWZ0rDeaY`fPqtSNNCd-;K1q)dJjds)ZLXQ(dyw$f}|UeUJJowm88)}yY;BXgs+CF?P@zV*_J{kQ20 z`t8HCt}l07danLoaZ}3&{gM9M!8?LH-|Zg#DEptU9kTy7{`~|WrIlW+e>d_(bi>KY zQ{()3`aL}ajkMet)o0QJ!}`Xg9w?ot51C$3p6r48YVMF)yGbJy*KO;Z6Mr6*Z7{B0 z$Mdx^{LyiIEe(JBaeVFUU&240ubr(|{w1B#G+H}Hh)#U%Yy9v;DE~|&Tqk`Mb@w$CxY6Y{`{iHvYiE=G^!C?k zWBchcSB+s__Y2$4fAK5+*)MFz7i0d7R(`%J$g!i1C->dDcXM}tLEg>rCC>icV|O># z_Px(#aW38PZAwpVrBi>fsxOVap>wkK!I-bo@879^H}WO)g;%TmjqxS)|Ek~og|?eWJie6ENPNfV zb2()B&UbZWIWzv4ENA9*lTlo3CsXBEXM1*EF5Lcl9fxOT8v*V(SNlhQOf4_`ycVB+ zrYZ5_&>JH@>1S_YYm0Bxu}3cz_1B~gPTW*{(BJTL`mO5DX;*ZNUMIy(EuZxBY0U18 z%N);~2Y3o_^2LscYb#+hs3vYZEs}xwr|hn zkoa=@9b`H8aVE<*x8FhHOJ{auIp;K!xXkWB)-yq2U))Dk2-e)#D{oNrD)Q`>F(DE^py9q!bh(l#)kmB$agk?r^E ztxwk<9SiM?&Zqyn{$Jm9cN zzKW+KikIng4}?GF^l^B3?Nip{ zDgQWK=c7)4-Ft}1^vCCqwjO0~vi$45#drt&ULP#&ANr2@;?0=qJC3+n^dU3jAs+3f zG378|e~a=z=3l9uK-^sKKBm=Q`dabmgB?>|#LdEIv?v~< zNXL{HakKClg^b6S2F8>ZakKCl1&_xl_%Y>0-0b^#{usX{s_U=rA)?(HRBiQnBN)pk z>+h7W-_LUX7^mNzH}25+W5S<4e*Jc;spXUT&-&Be*q>n(R?nYd6x9FE-q}E3RhRkx zkAjGzfTD;(fNzK|yon;33i*PT2BwCl_Rmoxdl_|Vt~qlZab_B)J9W*O$}COI3e613 zjHr;v6v+@s5h;mKiHLk5MM6dH^Ld{A-Dm%?-!t|1u65U4tJZ3L>bw7+{p@p|!*kBw zXYYNs@~zj`*}pyOpUD2kpZkk}oj41+L;X&i#of_wxBmT?y;s|35s|AC{kTBI>?%d> z@PNmAjfhV!X8yJ#!ehT0AL5aVna_5zzs>fG?4Og1mKR??Y$qPuFXEAlna_6OvE3pb zxtRI=XN0%s*)8IciXx`I7K@^=}c6T+IAl%fjP$9oqr%$i>X(E`@m9olV3e7c<}QQf9v2ovb1r zxtRIf)sDJ$ozYT-daC8c`PY4FY_}gnvF(R!H@TQ?KgV~eyX%4$sq4pmD`wl@ z_nPo_8`UB{xtRI8Js%#&li3bGu53Ozezm;#`1yB+$G<-jk6g^^^Y09ge}5t#xtRI< zJHzAOorp&+W0PBHxCZ23 z@+$96oWm`uhv6g74t(dQLoU&Ryem0D0WxV)pnuaTkXU^*iy49b5VF`2YHg&+$BP9FFe| zIsO*(yf{BP@rxrJp8rn#Vp9kIukRnxx4*uB#Ph)W5U*R_mxB50m*b?&t-s(Z{0^_b zPTWPHgWrj}Ky2m5}Fv^7yOwm*T(QzrKG&|94y6BJW$guZY?ES0{ckuS5Sj z@rzMg`O)`&4~Wrs)=U4ZU*s$HzZ1VW)uDbTezC8E|Nr3qqr+9eoj88o!SBTWQwP5j z?_VAKPVB#Q@H=srxek6O_8&UUZMvcL%={clqq#cjEJJ2fq{Zr-T3h z`!9BO;`mF4$KQ$LCmsAw9RKLxcjEX(2fq`?A3FG*IDXK<@5KIp2fq`azdHDx`25tt z@5JYy4nF(2+V2&$-z#qQ`Jsc~iT&3OekVRZbnrXz{@=mx#QS$g|7JT@b*mlsKek|Z@&!W>*2c-axweGApVZ;UZ`L5#qfKICwDl0_J-}}+8KP2YjIew zXnFJVR|mhJ9dqWprad@jU6Jpi$VJOjpI-{XuemUM?tH;|EKhxYDF|QeIV3zW`^6yE z&o2exi=0P6E@rNZ-lDtpEHSeaEZ$V)*pGT3&qq zSWe&ZMfy%IX8q@T79Ka>zlc0;axr`S{&)7c@p=4^$4xF~kDtHeapTpr$L+b8y#Dz+ z9yh+o<0cog$Itxbbnn_SEuKYz#L#;<9Q+jB8_{QMn{8(-vclZ)Bo_rJ5p zjnCtUJZ^F^d;EM)$LpT&??hhr$~S-(trMrzT=DZom|ZN?|=7j z`1GIk(06h%>p$oI)Aw!Gwn*Q}#jOAScUBXh{zLjsE@u7b@8~;TP3yboV$y&9j=tlI z^qpMH`p^3$ztqJ0XU)gr{gYp8s+Jeue^|~hHQ|f=QWLqD{bJLecUWC}t?qSti(T!t zOfF`>*tF}b;r0Dmi+JQ>=J$TyJbV0oZfMXRx94K=i&gv`j~id)ag&SLxaMXzqW`+E@pn$;o)_CqD4G%G4r`^0`)ofTErt4GoN$R@w!iI z5szHV{O#?vhrhkO)=I`_m;qAO{i+JQ>=I?CRkW#G4uPrCA_{5wTMS9X8vw}7vAmzTErt4Gk^C7!|Qixi+JQ>=I^m* zczbZ&r1cTvk&Bt%|8K+FbB`AB$i=PvKY5qI0oFf`KS7Q=@gH%k<4;@p@x9TXbKP)H z+r&Tj7yo!Z<9=ml+c4{6|NTqs{*&K~{eS!SN1k`z(YxX*C_j=*Y z*nVB`)%@DvgsR`&?Zue<>fWEcW5xR~{_uy}$Fz0b-ZsTvY5KjqxMFvOy{o_1{#D%8 zVrTAHvHefujuqS6g!)VFSi!$2{)O>QDe7OCxYfV3_@=YIQYikVb)P2M3hk4M|HtWh z^OJ_IfUd8&-Nlan_&;^UGkxdzdt?7SZ_cXI{cc4E zw{5qleIjk^xmUOG^)NA`fsP#aAW5ESywD?`y*S&o48^b|IJ*n z{C}hVo4I0{`fuinD^}mCTR~){lC~{H>pVU1=)X^5*t; zIOC=hXN+v+$NS%3f7dUb2dH_G9HZYDf0I|NbmEMM z4zIsXoN=+0Z~wmSh|yp0yBQt)H~a6W6K9-ssQ+fqID4~ayuH~oZaUHb4&Rt}qW@d@ ze{ugi_?_r~2fq{j-^!2e-*!LI-j8hmf%OJGZ_fX%_W0x9y?=hF_Gh&JB5w8Xe=9%g z{yFq%>EE@t+dbFYKmLJ>r+%RQ z(o5P$UV8Yr_R|l0=eQFOt@jAm`6v3W+%s*lX=}UqOtG!)s4K`t&kN6l z{oT9m@9enU#ouV~kt!GW?eI?8cqaHeTmO?=wp`yPvL3Bh%S*o1b&vY^jqR{T`gXxF5p)0P$HQB5p%~uN_T2@~Uo0;_ z{&nvQ@44s1@YcOgBrp4a_+5A}e_Raj55E(0|CNu0_qrXC;dSu39g$(XU$JB9!OXY4 z{nveYTenY%c;sU4_xShlcG_JGugAZOx!-4(@VNi8Jx_igThquKO}}X;z%*~5C2Jc zN4`r8?{NDLf#?-#>6!M=-RJ=OA} zf9l_aH~9rIyi@;1^t|Yw@%!-3`Uf$*Gk!1T{<;4ny!Uk#!#mf$yJGvR<;D6J`ui5| zf8pzW@Irh4#jlnZ{CI!6|K9LL{vF5rUcJ2OPih{Ky#79``%+um2i_)H-JbS&;y%&y zrXQaK{Yb!;EnoQcmMstdaLbl2{ioR0Htu+Gk-WqF>fqn97sh-DJUVi@8RnOaV)53pl zTKMa#=P{O-{THj}?d2W9e|d-SU#y?%tdfrFF4i5jq>Upe| zztQ(2k@h|}C-%2*nA)Jt6wk$u`|ph-DO*#l-*8Md96O-$t?? zr+J=>iGSnl@NT-GLGwHp6aULQh4$aO#GRf!u$4Z4VvezG4a21Yk2p*qe1gL7Zd;94dH$F<_694 zTul5~Cxz zG4X$RLwIwJYtTH;#l)ZUYr(k6hEBd7g`jzi3o=i+Wy3-qM2_G|zJ}@s}_n&-Kg_)lCJ z-twUhn&-Kg_{*1s_ltjQ&^*t@#Q)`f;r((^gXVcICjOIGgtua!2F>$aO#BrK!~50c z4Vve$aO#EN}eR!(|HE5paV&bn_5Z+TCZO}Z=#l(MlV0cf@Z_qr? z#l(N+vhY^#)u4Hvi;2Jbf$)BFNrUEjE++n({^6~;zd`dn7Zd-t9|>=5zXr|oTul76 z^TPYxhZ;1`b20JP?H1m;xec1ScWux-&&9-lc20QDO>NLT&&9-lzE611 z|DZwhJQoxHg^R*l->X6MJQovx{p|4ma6yCSc`hdYi#vt);;aVE^IS~)m(CAw!;TG_ z=ed~p8}1G7<@N^6^IS~)jXlEKcu#}oc`hdYAI}SKQ}+hV^IS~)O*6xL<(vl1^IS~) zSG$Gx>Wl`>^IS~)*Uk=a^R^9|=ed~po9_zm^(hUS=ed~pTe^hD*>LQ~IL-51Ec$JJ zwh`y4a5ijBJ9f`mNJoi@-{p?*wmH2)^E?+5f1AzWZ9Ba|^E?+5zw2q?@tcxtztcR= z#l-LSweYr^)S!8ui;2J8rtr9;h5AnOJQovx`%}Z?H@oqj=6NnAKG)sjah-il^E?+5 zpX=@MxX!+&d7g`j&-M0r+-Iexd7g`j&-M0rTxVa?JkQ0%-+6s_+(QcAX`bg|;&ZQO zJgzmbX`bg|;&aV9-Y&;AXrAX{;_vcoc)MQTpn0B)iQjiZczxG3XrAX{;_vpk@OD48 zLGwHp6My%$;c>45o(HFSo{NdkJrD5qSks_+o{Nd!|GMz@JgPzSJQovx&(-1Wb!~&@ zc`hdYfN|jsc)CIJJQovx;HSfT%h(3Z^IS~)x2y_p(0?>&p66oX4?Zls!7Cdy&vP;H zhkP=;y$@~BJkQ0%-+M)P`&`qYd7g`jzwfB<_Wfmp=6NnA{(hebZ~qYun&-Kg`1>yp zZ|J`?XrAX{;vaBGcn2(N&^*t@#2$aO#G4ig*S3hgXVcICjO`^!W+F$gXVcI zCjRJ!;T?K;gXVcICjOWq;f;B?LGwHp6aTQk5AX0n4VvezG4YQW z7~T={8#K>zG4aP;7T%G2HE5paV&Wh9KzK)8(x7>si;4f%{^7m#{sztSTul6&vP;H zPd-1qx9`}Xd7g`j|Mq*sdq;bN=6NnA{wY1eJLR4R>GL{8P^h@15NnG|zJ}@!vT! zyh-OYXrAX{;!o}t-sBk#n&-Kg_@|v6-n+JK&^*t@#DCXa;hjFELGwHp6aS1Z;hk}3 zgXVcICjOachIi(c2F>$aO#FA>5#E&38#K>zG4ZEt4)3h#4Vve_?rkAC3Cr5d*93s%W3>()xV%UY~tu>epNn_1OnzJ1j43f7Chn(WoyTa%JSA?b{dpSU>yz)EC)z zr>epOa<>EnkeU80Qzvj}Y z&oLCX!}7xRN1X%g4o>u69Cmf&q8%G5__2PD9Z_H8*bjBZvLAJZ{!7#s4>+XSu8MYS zE3MD5FY1dNyQ02W_M^`JBcguIpNw3zW77pc*3YqP>WdtErmk4_ zqt2lJi2CB-!>aA7Xvfym`W$WgJR>bzxa)UUa!%EhsHeZD)Oe$7=;pYH(J z4$BMMA9V&i9reY5pN?F#?=}j4te@{bs4w!}1$D);A9eP+HtLH5##P%@(Y~8W>+{_W z^+mpWp}tu5qt2d3Mg5wqt6V%Pug`Z+)UUZZ>hm2E+hKWO`=ibtYofl`|GLOU`);h@ z$NKs1jQS$qeNk5|`%$Oge?@(9kE5&Ys%YQorSDb|@4Bcj?)JIJMf+~G;K%y;?v?r?-WgJR>g+r*>epOf<>JJ=KIcwQzvlX=&p8lmhvkLsk2*bHjQZkEH$^Vmxg7;R*3Y>g z)E7B-gSukbk2*Wv9QDPXCs*55(audt>vQf3^+nD-p}tu5qs|WRi25}*RJr($ygujN zP`~DesLwexY=`BA?T_?sMw?%z%`%|m! zs%YmlrS&=IiTWbvE>T}B`%!1RNm0M%rYaXF<@Gsti~2P;MSae}VmmA^Y=6}0`fAh{ zyL~Nk(atF=__2P@IitSFxntB7%YM|^c6!toyPj5US4BHFF0IeGbJQ0(_l^2u*^fHg zoF4USZmx3i^t?Xj{!zc?=BUp(d~Ap1h3$_zZCj$g*yWDM#jWnd`iA45oV!SUk#i5J zE0%rgSn)flFPeB}wOvl~()Lrwms@=iKk5fFKkC0eCF+Y?x>Va$(asGmJbzK2b4RH! za_*;ZSH-d)bvADs^=sZ$<>Iz^ea?NQe$Bh0KIgEq9hMiiKkB?XBkGH0PQeWiUTk48sKkB@4PSh7)?N)79MLV}RtJt6c1! z*XP`6>erkZ^*INc?XbMC{ZVJ*JyBo$<9U&bc5ZjUkM(ozH}yr%-KMTs_M^_r?NMLc z*rVF6igs>#TAy>*sV{QwIrYV|A9XhD81-x3Tjk=8d40~kr+&?Qqdw=*vmKTfwm<5; zI4kOlFP$H`Xx9c5{8&HN4p3j@+<)qdWk2ft;ex0yzPMAhT@~%xhO|D{K2Tre+6C&1 zWk2ey?-liH&aQH?S6-iMH>h88cGTw@47S7a!uCg<=YJ6O#TPD$T(oOT3Vy7gYfq>z za_tCp#j+oDo|_u=#pnA}+f~u7jY;cs?F{uru6?1tSoWjNv%5z9nscgL+%>PywLjFa zIVb9K4G-I4d13pb&bql#U;O>Wk&AY1Qo)b)bL|rKMXo)fu2}Y?&hI`H^~H6&Rohk3 zuB}SzbL|!NMXsHqzF797&f0!azvjFu7yIS)xps{DHRnZrt^s2^EH7+-)LCIUeXiZ3zR0zA)ECQs)LFe( z)UWwKm5Y1j^||(t`ZXVj`dmZEc3586{;2cx{HQNJb6Mn~T^m{OWBpt^Nqv!PAE_&r z{iyTQN29*@^uTJnD%!Q3X??E!q`t_to75M}e$-htDC*Z-Q03yFygt{iQorVcsLwU1 zY=`BA?Th zhp8`e?JxDkvLAI;>=X5CF068KpS(WTK2yKu!l=(R%xs6{h3$_zzg!gc#V4Wj;URohk3?oE)^=iUX>7rFNU^~JIub(S6!^=mGza`B+NKKEXre$Ay(pL-~<9hMii zKk7WXEb5DoT^+e-_l79=v3~9yL4A>XKTuaJ`%&lT{}T1ZM-QpCtD@c8BCXH8FQ_ka z?+WURWk2dH84>ksF0XQNL|&hJcTm6P@~F=}IM@!$3)>%c7X32ni;F)IxoGzmDfqE| z?ma?%k$ZQuqt3%CqrUjFPev}cdB^IS~)FI^PgjlCK)&vP;H zZ=4<8O&2t1p66oXe|e|yzC5cz^E?+5|K{_$aO#H8R3-9YQ8Z^&yG4ZFL9p3HR zHfWybV&dO^S9o_!Y0x~+#l-(cm+-!EXM^T>E++n+XNG6G|NC*8=ed~p-@GHdyH0P= zJkQ0%ziV@N-ndL^E?+5f99s}zI|JR=6NnA z{ynFLchANK>GL{O{Zv-o5W=&^*t@#J_h#c;CIbLGwHp6Mxpp;mvxnLGwHp6aRZR zg*SU*gXVcICjRX8;eG#04VvetQwDF=QYjqTugk9vEy;< zzovPfi;2H#L3n(3f$ucWb20Jxt^<$nUTT`>xtRETM}xWm!Tul7c4}`~eU-(Y* zJQow6@5=D_?yjbJo{NdkcYJt!_gK?B&&9-FJ1;!GJH>aJ=ed~peAkM%Zf=9-c`hbC z-x1^U-E~d#JQoxH**W3y-8;V1JkQ0%=ev45&K;;}p66oXbB+NX=RVXl&vP;H*Ut`* zb2spv=6NnAKIeMiaqdY?^E?+5pL103ICrL|d7g`jzv15SUT$yDJkQ0%=UgG`a_&-1 z^E?+5pL3k>IQOcid7g`jziDQ8oI8f^G|zJ}@j2HFk8|H@n&-Kg_?#n$$GLko>GL z{LOcT$GM02PV+n$6Q6Sx@i>RFrg@%=ML*89Z@HlrH-)WxbV&ZeI zHy-Dn*EG*_G4VM^9glP8Yntb|nD{$v2#<6B@tx**E+#(L3gB_=LQV5L7Zaar9PqgI zqNaJCi;2JU`tZ1R1m9_%=VIb>jR_vtzSK0&b20I`Mh1^-cWRpFxtRF7JR2U@9^pI9 z^IS}Pu2sV0+Nql6c`hbC*I40k?N?3nJQovx_qE}1?Haz*JkQ0%=UO*BuDz>ip66oX zbB!Jz*ACV+&vP;H_gozw*FNGq>GLe6E$miO;pRc!O6qXrAX{;&Y8KKG!bSG|zJ}@%LU49@k#uJI(W4Onk1@#^c)Y zn&x>fCO+4g<8keKP4he#6Mz5Z;c@LgzSBI<#l+`Ye?0CzP}4lm#l+_x1$f*$p{9AB zi-~{W((n$tszLKS7Zaa*MNpS}SJX7mb20I`#|0ku-l%Dw=VIayUlJbo4#9Vt=ed~p z++zffd!N)a&vP;Hxkm~f_im|ap66oXk6sua_nyIbn&-Kg_}r@ok9+6TG|zJ}@wvwi z-r<89G|zJ}@ef}R9``O{Jx=pH7Zaa*9pQ2BrJCk>E+#(rXu>;kuLjNYTul5U9|-TL zOByuKb20JX+CRLv-rt~ko{Ncp^hd%wreA~Rc`hdYG4sMZ_CpPt=ed~p<97>h{M-i3 z^IS~)2^WX=wp|-E&vP;H-!><_J5J84#f=6NnA{>kTu_x2qdG|zJ}@!x)Lc<*R$&^*t@#6P7+ zc&FUcpn0B)iGS*O;k~nagXVcICjL8ThBxV)2F>$aO#I2+!kav!LGwHp6aTcc!+Y1Z z4Vve54Y|uQ<#l%1J%JQoxH zyi>zFZ)1bzc`hdYdv6V|{T&UO=ed~p?Hj^--^~r0=ed~p=bs$j`7btTp66oX|IJO| zT`;jh^E?+5|AO`5UHGL2>GL{EJQq@1o}$G|zJ}@!x+#cvFvS&^*t@qHpKD{lY#g z+V94E@e3^;@lxdCsO0{Yw_X_DSN3iZk6g_B+n)>Xj&HVzM=oam-5(Ec=HV^kk&BuC zy=}t#-j773axwECxh1?s?`;u}T+IB(4-D_| z-?oTHE@u9U?}YcOziSbXT+IB{$Aq`~wHEQn#mxWxL*YI9Z!O}Hi<$q@yTaShyG1;5 zG4o%we`EandF_iW;*pDG-;OuGAJ2{#+keF)UW#1I{2g8hZ^v)7h(|7F{x1Iz-mXWq zh(|7F{+?aK+w;dQ;*pD)KjeRhxA!S6;*pD)KWvZihCSXQ9=VwLBfk>fsQ0yqM=oam z5eJ8N#P3?fBNsFO*zbln{$nlTk&Br>aeR0aUvCkQT+IA;{xrNv|K1`VxtRIyJ|ny- zyR?W$E@u9DzY6cYH@1jJE@u8k9|-UL`?rWkE|z`X=WN#Y9%=fb<=n=Rszic#C-CV&;EuoAAE(qZaYV#mt}ksqlXE z_7?HT#mt|-dwBC7YY~rJ%=|}g32)JRTf`$5Gym}e!+ZR=D+l=@HX^r5szHV{8#O7`SlzrzdR?f9)0@yNx@-{l{|+x3VR@yNx@-?M9Yd;YjZJaRGfhy3sG z_CBRWJaRGfhwTyGu*X}(BNsD&V*;^6R(_+5*573 zaxwEKjt_6*>n-Aui<$q9eXM{IpmlpBJ#mqnNSK+<)#uo9&#mv9x z1L3`Y{}%Dc#jX7Iw(WM_rmb(=!M*L6(HE|^bB^s8*q3ZSHfYDL?O4JW>>AP_d58DE z4nEiY;NA9*VtBXgDCYk3&xLoV9S37QcXkVJ`sc*lpD`%BZ(l2hH^c4;!1l-T^7en% zjvrC?`~Ai6zI%sAUiR-hG`x9Mi}lRAExh~enn>!$^0NQHobVPLB8K6&eEdV_hIh~i zF}$I3MbC@=2s?gA-O;nf@J5_0=KkT2hIiy~Vt9w!JrUUcYI(8#G4`6F{)B~Mc*j_V zUo9{CC#(tYWIKM(dQP4a-U(|&&x`)4mxVWZl^EWsmx;N5#;f6-^&v65GhP*Q|J+Z6 z$MIgapWhwgai9AMG50U*72edV#PBZcCBDIr>vwmm-v54W@00df@k{?H+J2;c7XHGo zMe+{+emnTLd@#J*28iL^@97 zsh-DJUhdzz>Un!^TKLaR3x8epJjU{}|6=vLy}U#CFYgfki`DZO%gg>N)$_Lbv*B<4 zZ1}HK&tohv`Sw2N&zrsfy#*iQlZ&1==U3z3pS}P2^JeFJ-X4C>+r#VO&ttv3==bsG z&CXXG9e&@@;rH?9(axicxbd@_vg{h1B~TGf3QDq_WpNb`1_m~ z{$PI|?foy77ySdO=k377;UBm-`~#}zv07gAM^w+-==X#_`aR)~sGi4adC?zRJ#XWl z34h!(;g7AJ$7*@eKc;%#Cj4#q6aF^*W2)z|T3+-|te&@%{uusAe+>V`>Upe|7yVPK z=WX&ohd=qB!#}lp9;@X=|IF%nJF92-XW6j~UcYBn&ttW`=$~6XZ|$ECzy0&!pIbeT z)$*c$VfDOC9UT7D!Qo$6J&)D$rhi;>|Bw6J^ZV=EKe?vO6wk$u-~Vmp+xL!7#{D~j ztf%swEbs8|zk|>F?8wJ>|7~&H@$vpEj(n`_^FE7zo4sF%c;sT{^FE9B4ST;3@yNx@ z=Y1CM+ap`VBNsED_gVbe_I@Gak&Btn`z#*syCNRBnEAZV;_<#K;*pD)&-*MM@4F%% zxtRI9&*JgEE8>xhna}$y9`Cy%9=VwLywBqCzANI9i zA|APz`Ml5K@xCkKk&9(N-e+yOzwe6U?6LFyD`r0Lvv|Dkig@H==JP&_$NR2`M=oYQ z@3VNk?}~WjV&?Nci^uz}h(|7FKJT-5yzh#5u#bE@nROvv|Dkig@H==JP&_$NR2`M=oYQ@3VNk?}~WjV&z>)UeQ ze-{t6?=IOcvFt~kQT81Yk6#>Z-vv@%9CdwO-x&298ui7p zA9W7AHtLH9jjOh+;(;CS|N4gh+j6fj9_06bwci7;SoWjNu%S`E=8`HGJKjJ24fTf& zjruk1yL0+KOf33Qhwm_X{fh@&9Jy%UWftaF)Zx2Mj^Bv~SaIr$Wk2fdZ{HnKUmV({ z+OCTGPs!`sa<4BA?NV)5#j+oD_I*C;i~HRWxw!B1d3{^%^~L>ei27pLk2?C@ZSlYP z{p~mOU%$I8>UX!rt$u&I;YawanG}(zF797&K?t^e$Dk& zE>6tr?=dmz*IXa<_YjMI)Y*My)EE1GGIDYEm3e(z?vG#W_sOU)mi?%++vQPT+uHgT zyG+mP+j4*W;;yGfeX;CEoj%7#{hDj5Ts$_f-{;tx;emM}4vEM;*?cWB%8iS>&EAYsI%kEQD4;WUW=9&K0ikN9mYoenyac@ z99#0E{tjcKe$7=?E*AZ$v;Cr|FZQ@1a&h}bd3{^%&%fB?il{G^{ixG@YSb6E?^A78 z#qLw{`nKHbi`(~!`eNCSI^DKJeQ~=xA{V=D$?My4uP<(QN7NU~e$?rDebg7bO{li3 zV%O{Q`nKHbi`^zfeX;CEooz=%{hG_GTpW?t-*!aQuem(xZz~r4sMBSB)EBq8EON2S z{Jg#`_s1`8b6M0E%YM{pYmfS3mmbx2Rcvd|>)Ud#FLvn>^~JJJ9lPh_M(Ve?<<`i> z*EeSM@u=V8mRqTBFY1bAKk970HtLJ7kE^z;;^u4f`nKHbi?5H1`eNCSIg8U=H~Tnxj%mK)r+IPSoWjNrYTWhe5FgZ zT@^P?$?My4uP?sRCF+Z1Kk96JKI)5qydiRN_?pqgQ9-T1ywE%%Ij|!6!mK^i257Eq91kiyVD}~?qx2B zFV4#A+j4*WqJDQ;Ec;RC50m2Y*W6U);-tL(A0|cpnw#SBa}5V`$nxa#kKJo|P1G0H zUl;Yo7uJ;gSiddz$1kqGE^@K#N1f-diu&RU!y*@-zbdb9%e}t%!mw()Dwh4I^IX5E zUvplSi~aKY&-IJ?HRnbB=ft8Pb$&l1>Wj~w9l7}X8F_tM?vG!5_Ux!Hmi?%+ZerB0 zxxUK9iFy5X6Qh33^-+JFSoEXL+LcjX{M{!b7uT-L>)UdF{NnFE8TG}oA9a3vdDIuz z4ym@Q;%_g{>)Ud#FRmRD^~JIub=LHX`ZZ@)x!5bOzou8zuQ@yFuMvxW)LA_}>WjZQ zEpl=7^t`?;_s1{(=Cr6Umi?&n%&}3w=GrP3kIm~pb8OVFxi;!QBNqLr^VG7aFFt*B z6)ECQs)cJMys9$qt zm5bf;`oHcT^=rwewzF797&Wf>7zvikc z7suxHSB#DNHCILb6=KnkI{MvbQNQ~vZuR@kTOI#s{qD2L6@M``fBd%G>x#eZ6OUgk`%&kKEm2=wen;fu6I=57w%qHB%kPN#V%d*6%dU_5 zBG&@*`A=MSeO}*|dwubV2~l4x`%&ld5mCS9@+ucckNS^`ML+61Hb3f% zOD~IDd~AMR-0fs_m-yXnS7YmV15iu^v%hEc;Ps$;PNJ z{`}U+#U&f_`nKHbi$A|L>WgJR>MXuC>WfRpRohi@@wIt)UdF{Nf`QM}4vEN1dNdiTdKg zF4cBb{MnSezAg9q;=(RbUo87k=cms{eevNNA{T%9d|uy{dwucY8=}5g_U(6Kt@r$H z$ycn`HSN4H&&7`SPi(yZ*!kA>+Qs{-y;f_Q=ed~pU$uXGcwe)Bb2ZKLTul70+w8!b zZnL7Md7g`jKiy^@-t9K?YMSS{nD}>$2=9*N4Vve)7yS zt!>ae&&9<5-t_QhpVpvxo{Ne9{a)dHe|CfBc`hdY4=xYyheH}P&vP;Hf4DNdIiGCM zJkQ0%zi(oA_pNWxJkQ0%pF1PGAD!Kxd7g`jKd)bS^X4^Zp66oX|M;r#?jP2md7g`j zuit&u@3`uBTRk^FmY3(p1Cyfe1DhH&&vP-U|KP0f=AYl7d7g`j|IncD9$L_#d7g`j zzu=niembf_^E?+5pZ9F$H}BOo>GLd_HsF@tLEhd7g`jzi@7Nd{)ADn&-Kg_^E?+5pUZ^ z$0}-?=ed~p9CN{2v8qAyJQow6V@CKKE2?Rp=VIb>%nR?=GaEF|b20HbW{1x)yPD>C zE+#(59Pv2TSkpYu#l+{BDc&<{8#K>zG4WSV507KLtjB4d=VIco=@s6Z*$tZKxtRDI zbEhuH+-sWWxtRETXMo3d1vSm{Tugkv^T1oTzCrUm7ZacFZ1A5wyFv3j7Zd-ve&Ibg zuR-%X7ZacF%&5kaYxtivAE++nqv%-7n{07bQ zTul58gTmXeph5FI7Zd;GYr@+&szLKS7ZZQu^WpJbJ&)gMp66oXbIt+YD_t5i&vP;H zUzr;o=Sr|1r+J=>iO)G7c&{yK&^*t@#OIt9{MW}dXrAX{;&bi|{+3%CG|zLf=-Ww) z?RfTkr#%|9>*+if6Q6UQ@HyA1rg@%=iNEcL@U~svpn0B)iO)G_)a^E*LGwHp6Th21 zb@-esSJOPt#l+{FKRnL)t7)F+V&ZeoA|B@|)-=y^G4Xd88{Q798Z^&yG4Xf2IlP`H zH)x*cV&ZeoE8D%(%m&T#Tul6(FA1+#{|3$TTugkb20Jz92;JrwGEo* zxtRF7Ob?H9y{Yds&vP;H`}PX2@9YN6^IS~)-7XJr_aP0M=ed~pyRQt7YX#VTr+J=> ziO)3;czdjG&^*t@#OIm~e6H1~X`bg|;_uZjyuIc%XrAX{;t#kgJg%9czSBI<#l#=D zCOoe7!FQVHxtREaCWSX>Q-kJtE+#(LTv3;6t!kR*xtRES4+?MZ1r3_#xtRF-ToYdX zJEo)T|C{HznD|_?$98kAUQP2n7ZZQ~Dd7$6(x7>si-|vUZg^ZPNqwhzo{NdkHJ^CH zmNaOd=VIa?cx`xGv&wp$=6NnAKG)phajk7l^E?+5|B&|ZhWBXDJkQ0%A3i@ku63rq z(>%|`#OIoAyphWrG|zJ}@ww(4pKHx)n&-Kg_%|` z#6N6Nc!yunpn0B)i9dF1cw<*JXrAX{;vaEyc;ilP&^*t@#6Ploct_4`&^*t@#MkeZ zzO{e&PV+n$6aTHt!aMrv2F>$aO#EYx4eyw>4Vve$aO#Jgkgm>QZ2F>$a?C2lg;r_En*mp($ zVdsC?{i1^L+uxr*LL~3-{aeFtYx}@czP#w=s+otW~Z9BH@)wWaH-fctLu4wDowoBU%ZTq)v+qVC9+kNyySByGzWc&Ls zY`^sH+S@NU|I+r4T>O#tj~v=Qx_!!FXO5X*C(3lS8@q0|(>87E{8{K4cOja$*1l={ zn7wOu5$zrDQ}!+BTG8|7RSXlG&!T*O#hdjU(@&mQ@TY|aR)}r zMO*&H`qf>O9y;1Sfqlll1++W(i-+HB-$Gh09{QQG|Hzf$ExD;hJaRGfADa{2vK1}j zk&BuCi_PKvYG8|a9aIpMvqqD4G%G4o&C z9Nxx(E#i@jng7bs;cY&zMLcq`?Ay`GEAi~8;Y}^_dL$P!f4e#1^;pp&9=VwLJvWEf zdti%r4Z}hc|3si+JQ> z<})WoUK;a5w7>WHAzGf!k3%NM{18W88uLRuWOCVOPT(;=L_Bga^O+NP%nuQdT+Dpt z1RnE4#3L6opE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=C%zWkq9`i%QBNsEDIf2Lg z5b?;xvLAE8mizn=c^xu8#LQ<-;4wc$JaRGfnG<--4-t=C%zWkq9`i%QBNsEDIf2Lg z5b?;x%x6yEF+W5+axwFn6L`!I5szHVeCEf2BV&GutcP5*Je?myd&m3`4;&fuLmb+> z>@z3um>(h@xtRIP2|VV9h(|7FK63((`61$wixsXna`ZSV}6KuxsXna`ZSV}6Ku$Df`R`Jm!ap zM=oYQa{`a~A>xsXna`ZSV}6Ku*)lOJjbBeJ7WF<^&$|L&PH&GoLwu$NUiS$i>WOPT(;=L_Bga^O+NP%nuQd zT+Dpt1RnE4#3L6opE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=CEc-DhY`M=5k=G&f zL(F{U1RnE4#3L6opE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=C%zWkq9`i%QBNsED zIf2Lg5b?;x%x6yY85#3KWIg1f<>~y`xp&MDvCqhuAL7ov%RX}gkNF|uk&BtnoWNs# zh(h@xtRIP2|VV9h(|7FK63((`61$wixsXna`ZSV}6KuWOPT(;=L_Bga^O+NP%nuQdT+Dpt1RnE4 z#3L6opE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=CEc-DhY`M=5k=G&fL(F{U1RnE4 z#3L6opE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=C%zWkq9`i%QBNsEDIf2Lg5b?;x z%x6w?y*uWI$a=^{%hUPM(h@xtRIP2|VV9h(|7FK63((`61$wieSV0%4w)Zf<})Yom>(h@xtRIP2|VV9h(|7FK63((`61$wiWGb7gqHyQxJyaxwFtofF;*D_X=O7c>9G&Eahv*diXenE9_99p2{iTErt4%RX}g zkNMFeuSaq*^S7H5UXK+m;*pD)-*a<#y$804M=oamu1ANr`*|(mk&Bt%|H|+N+|(i- zxtRHb=7hKRiWc$6#mwJtb9loBwunbAWWOPT(;=L_Bga^O+NP%nuQdT+Dpt1RnE4#3L6o zpE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=CEc-DhY`M=5k=G&fL(F{U1RnE4#3L6o zpE-fY{1EZT#mr|;;4wc$JaRGfnG<--4-t=C%zWkq9`i%QBNsEDIf2Lg5b?;x%x8YA ze<9|F$a=^{%hUPs+~SxY;`$e2eu&R4F8j<0Jm!apM=oYQa{`a~A>xsXna`ZSV}6Ku zWOPT(;=L_Bga^O+NP%nuQdTrB%BCv3UT50Td)^Fz#h<^&$|L&PH&GoLwu$NUiS z$i>WOPT(;=L_Bga^O+NP%nuQdT+Dpt1RnE4#3L6opE-fY{1EZT#mr|;tiCknhsb)! zMa$Fq@zms)AL8muV}6KFO)mS)2|VV9h(|7FK63((`61$wixsXna`ZSV}6KuxsXna`ZSV}6KuWOPT(;= zL_Bga^O+NP%nuQdTrB%BCv3UT50Td)^Fz#h<^&$|L&PH&GoLwu$NUiS$i>WOPT(;= zL_Bga^O+NP%nuQdT+Dpt1RnE4#3L6opE-fY{1EZT#mr|;Jn=%z50UkdixsXna`ZSV}6KuxsXna`ZSV}6KuWOPW(kRAr~!A=f{G{F+aqgT^jR4 zTrj!pGbiwvA0i&PnEA{JJm!apM=oYQa{`a~A>xsXna`ZSV}6KuG7niL+ZE{kNhm ze`EcIKkmcfi${(LU$ngF54Y_-;;VKKW4pzk$a=^{%ZvVq#o>+oLW_9hV&;!}UwESr zXc3QG%>2fCjOXd;n9D5r+J=>iBF&L=zC4`JQow6KI75% zn&x>fCO&;W{FLaw$a?&BQPJ|W|A+l+^j~B>^k1~R=+kFB`Y+;=F?|9`Y+;=F?|9`Y+;i=F?|9 z`Y+;N8NB>1Ue_d3}eEN(>|3!RqG4ts&9{m^b{B=<=^XW4leHZb`#muMA zc=TVy^Vdbi%%{(I^k2j$7c-we5C5Ohf06Z&i1U zaxwGi^TEH5{)?=KT(rE{Kl*&|zR~}hwm#3reak+5#-sl=>GLeEN(>|7)7(xtRF$ z8IS(gG|zJ}@yARHkG|tO>GLeEN(>|7)7(xtRF$8IS(gG|zJ}@#*tH=SKfU*5j{> zik7GSKk&2Bf06YJx7USedC{lOc=TVyBNsEDKI74U5szHVeEN(>|3y4|7)7(xtRF$8IS(gG|zJ}@yARHkG|tO>GLeEN(>|7)7(xtRF$ z8IS(gG|zJ}@#*scZ;k$otjAv$6)jKuKlEeKf06YJx7USedC{lOc=TVyBNsEDKI74U z5szHVeEN(>|3y4|3y4me5{FZPc<@BNeLzsP#XMazpmea55zA|APz`Scl&{)>3z zV&>ClJo+!W?FdkA0Oh8i|3y4fCO&<}qyII{ z^IS}P`iw{aYntb|nD}F+g-74^k1~R=+kFB`Y+;=F?|9`Y+;kN%5z$A@_2V&>ClJo+!cPmnECV>kN%7J zClJo+x;lZ%;8pYiCwi07}1ikVNJ@#w#ZPcCLYect27=)cH% z$VJPG{Tn{s?zaC}^j~B>1UaxwGiGamgH@yNx@A2TgH z`i~Fs$i>X3&v^7-#3L6opFZQ!e-V#d%zXOXuV?hXrmfF&v1hq|^m+H=qyHl7Ar~!A z`;SNeMLd69RLp$(j7R@Pd~z}K=`$Yv7xDacQ8DxBGah{x@yW%^r_XrwU&Qm*Ma9gg z&v^7-#3vUspFZ#QKcoL5>me5{FZOTvc>A@C??nGa)X3&v^7- z#3L6opFZQ!e-V#d%=|Ia!lVEA5RY8UeEN(>|3y4X3&%6FU`Y*B` za?$c)|LF6s`$qq3+WI^f_bvPM8IS(gG|zJ}@#!-j{jX`B=VId1XFU2}(>%|`#2+&) zJo=9BG|zJ}@#!-j{jX`B=VId1XFU2}(>%|`#HY`@oE!ZYS&zRiDq5cQzt3l*|03%d zZm$c`@}f_l@#w#ZM=oYQea55zA|APz`Scl&{)>3zV&;#T79M@ahj`>-=F?|9`Y+;< zi3z zV&;#T79M@ahj`>-=F?|9`Y+;H zSr55rdC{lOc=TVyBNsEDKI74U5szHVeEN(>|3y4jL?j>}5zr`vCJ=fNkWi&cfCLCN z^aK);&;%)hv>+|?gc^#jYgw@E;_7~~yY7l@{qFOAo@-`;%=PX6_UqChg^)jw}0!73V%fDf5X^c z=HiHcpFZQ!|AygZE*hUc@ZrUt~Y|xu}fX_J4`%OaDdoL;uCddwu$hNB>1Ua?$zp z8IS&pc;uq<=`$Yv7xBnN=Z~0BJo=6g@yJEz(`P*TFXEAl&Zp0K^k2jy7oAU^7yn7= zzsP>b#mIa6x9+I$mn;2m82igyT&~}z&v^8|VR)H~#;4DC^uJ+vnTy7!&v^8|VR)H~ z#vd`Ec=R1V8D8e1@#!-j{cjjv=A!ZGGamhK7+&V0@#*toJCy#5>?c1Lm66;2FFLXG zUt~Y@UyQuhr_XrwU&JF9oll?f=)Z_ZE;^q+`(SH#yKNpqGr_XrwU&JRDoll?f=)Z`UpNmT8 z(`P*TF5;7m&Zp0K^k2lw&qby4=`$Yv7xBqO=kt53{x+Tdi)BCbpZ(SCz5QD^es9(P zyh{IDvOjV$w)gt$Ehygb_|0AH2jY>7&R>6@;%%^2OFVMX`5U}hybb5H#3L7-KVm}h zM#gVGWC^Xc3zqVwr99{m^b$VKPV z=Rf_n^j~B@P*$@2}Bk%R;GamgH@yJEz(`P*TFXEAl&Zp0K^k2jy7oAU^@#w#ZM=m;_KI74U z5szGSK7Gcc{~{i_=zRM8hv!QFMfO83M&8>$`uvB*O8*-Xt19{q0^Ugo0l z=`$YvZx~+YqVef79{q0^Ugo0l=`$YvZx~+YqVef79{q0^Ugo0l=`$YvZx~+YqVehT ze}AU*Ut~Y|xu}fX_W!?*E&UhS5B(P-@Ac_39{m^b$VKPVXFU2Z;*pEar_XrwU&JF9 zoll?f=)Z_ZE;^q+3zqVwr9 z9{m^b$VKPV=l@)>^uJ;3FLQCl{{GSD|Jb?oUt~Y|xu}fX_8*V_i}?AusB}Jk#-slt zKDp?8`iw{aMZEl6R63tN0a`Y*B{axwB=pFZQ!e-V#dbUuB?qyHivx#)cQj7R@PJaWA%Q+$i>Ke`$wODH=^{vVeBt+aYVmQ zpYiB_!|*Z}jZdHP=zqiTG8c_cpYiB_!|*Z}jZdHP=zqiTG8c_cpYiB_!|*Z}jZdHP z=zqiTG8c_cpa0L{rT-%P$@Rb1xqhEM|3y4<(fRZlkN%5z3sT(NB>3q{9IHzpFZQ!e-S@F7nRPZ&v^7-#3vV>PoIBrO6kAIe#phhd;3S9e|~rA zzsP>b#mIYo`iw{aMLcrR`Scl&{)>3zqVwr99{m^b$VKPVXFU2Z;*pEar_XrwU&JF9 zoll?f=)Z_ZE;^q+|LnJ=|04S#7bEZOAASDW>ZSh;V}F^8tM~i#8IS%q3@>xh`1Bc% z{x=LSbJ6(p8IS%q3@>xh`1Bc%{x=LSbJ6(p8IS%q3@>xh`1Bc%{x=LSbJ6(p`Sm?Z z|3&tbpNq=KZU0}ptn^=GKlERWyw|7Cc=TVyBNv@dpYiCwh(|6upFZQ!e-V#dbUuB? zqyHivx#)cQj7R@PJaW1Ua?$zp8IS&pc;uq<>GO|oE&UhS54jk5 zZ~y4?kA7MDFR~wUG4fuYKI74U5szGSK7Gcc{~{i_=zRK&NB>1Ua?$zp8IS&pc;uq< z=`$Yv7xBnN=hJ6A`Y+;2LI-fq{(SH#?KNpqGr_XrwU&PPPMWyrUGamgH z@ySK!)8`+aU-~byA96AB-u}_&e|VzwUt~YzV&uI(ea55zA|AQueEN(>|3y4<(fRZl zkN%5zxh`1Bc%{x=LS zbJ6(p8IS%q3@>xh`1Bc%{x=LSbJ6(p8IS%q3@>xh`1JYZ9ZLU2_LHBB%E)d1Uz%9@ zFR~x{FGk+$(`P*TFXEAl&Zp0K^k2jy7oAU^@#w#ZM=m;_KI74U5szGSK7Gcc{~{i_ z=zRK&NB>1Ua?$zpdBKCF|04S#7bEZOAANrD_oe?L`ym%2@Ac_39{m^b$VKPVXFU2Z z;*pEar_XrwU&JF9oll?f=)Z_ZE;^q+$`uyChrT-%PAr~X> z_31Mn{TK1bMd#CJJo+!KR^!JZGKf875zsP>_b5R+&?LQv<7xD9RQR#g8j7R@Pd~(tG z^cj!-i+K6DsB}Jk#-sltets@0oll?f=)Z`cpNmT8(`P*TFXEGn&Zp1MoKpHPvLA9W z^4|W@=lOS+{)_B~T#UTer_XrwU&JF9oll?f=)Z_ZE;^q+|3y4<(fRZlkN%5zZSh;V}F^8tM~i#8IS%q z3@>xh`1Bc%{x=LSbJ6(p8IS%q3@>xh`1Bc%{x=LSbJ6(p8IS%q3@>xh`1Bc%{x=LS zbJ6(p`RP4N|3&tbpNq=KZU3LTtn^=GKlERWyw|7Cc=TVyBNv@dpYiCwh(|6upFZQ! ze-V#dbUuB?qyHivx#)cQj7R@PJaWsk7ra>kI~)t|G?&{yw>wd9T0O4uAXG<97@O zn-3G?T*k!lcMvo0`n%`xhjIKk_q(J1_}y8X{!$zaK0TFO%sl;f$DhPoe38M4gJlLE z7%Vl2yQBHvYYrA2EW5-K2cEF+5#tX(aNPJKkB(ozw^aNI_75y^%wZ?4zv1w42OThO z{L$majX&tXW5*pl^7!EgtUvttk>fVpeXB9MY!$z1^JDLdy)DBPdG}j`!L&8X75iW8 zVUKObZnNzk3+-X(UVaZ>U-%sM*nX4kzWlk|!-P186&K$sYR~^y&S#6kVB*OB^Lg9e zcK-afV?V#sLg%y6m3|LzyC%CwMO*E%&>r?%#8>pTJ-owJ-TvYKzkAqf)c>bF>^^q8 zFT(^e6l|yloFuvD-Eaox=&+ zF0_XgyPxo5_8)i1@rREax8HFGjoV})>yjaZ!NuD)Xa2vmZv4hzaO!f6wdy+^>zMry zIpWY`kL8f-ckiQ%|6w8b9gleE*N=bOdEPhq|9zeZ9eViT<3@Ck_3R%OI@Tw<=gD1v z^nu5WJK>N+4?ZMDhH=BkZ?x&Soko6f(``5G?&E8F$B1=CQ|p><-^c#@jvsf#p+}56 zV#9G8jN5hO*b!Uqy3iHA`r9!Ip7+1<*B`g*S9X>^;T`Vz$#KtXUrWYO-m}QyH#ur9 zRz~d{9peA{>6G%n;I%(0|67dz>W;djx}*NPqSlMX4+f{l3`Lw8$0Qdc@Aao#5ck2e zgTbqhw!CoKU_dU$_O8EWJL(VkBo3y;&G~8+CtiGY$;HU~{rGI1f5Tw#$~RhG@TKr0 z7h`+Z-@9A?l=)HjGjaS$EiYL<&Lwg&w)grs#&w$X*}>q(AGbVzx%ltM#n|5U_v+Sv z-x`C#rRNR?bFOT8W&D#xF2?p=|Kj)u=lr#z{uqzM3t|>QE=Jz#bDpQ|7U%oBmSk}UH{bX^}BE1IPWjU`G2+LmAA+FM=r+pUjN3c;?^Fk&Cgt>u>+| z<6rWx<@n;%>&o%P$a{T0=NGIWvyQ*E`s6TTSx(|(f+ui7qj{_k}E zT(Wbyf5f-lKMVO>r*FKIrkgHBNv_ja*RD!yf5y*7<0sFzZeY2#mIY)zuA$4y#9Ipq7S-` zna6dl+k1WbbWzO7@I?BlzSiy5zwov=|I=f4F=RhvT_Fn&{{}>F;95oo+`s-!=eWT@ zWIx(3jJ((X;ElywVPwnW9*w`i7`f>DRlirfPmXShM=m;l{TGV2X?%V;e~3peI)Bv9 zi?>~j+4vBTTy*}I{=0a)PHKrqE;@hQQpGzc=4>1v;*pEa|N6&^cU;`__z;g=bpELu z6mMcU_z;g=bpH9<7VpwnslbPLYW`vLA>?E;@gO80+x3Uqw7}(fOa;qIle|_z;g=bpEC>_TzEC zig@Ir^S3*=c-*h}5RY7R{;o0a!Q*}v@yJEzA9PXixL@%h9=YiJ<6^Fj$Nehek&Dis zcwh0jU-2Oxx#;{$pDiBuD?Y>{7oC6YYsKS!#fNz0qVw7uMzN4S3uH`Qi%sM9cgTX+Yy;8}=$QOHm+~V*+d;D{LRlLdZd1XHkk6d*AxjPo`+&{F$ zBNv^|d0rDP=P%BjUCv*O+@3!k=PlxK{-X0aPdv_F#3L7-e|Ow((_`*;_nR$etQr3u zxft7f&!4Zmdb#2^e7N{mi*?=bojyPK({3%kc-1b&7bEXIem-X{Q=Y%3iTBp$FL9ZE z|FXI9+&5(~xcqA^r+zw~A0roId++hDi04%ND_(AiM=m-am;d8y#qR&E+k1~cC;r{x zYwnL{2oaxLjJ((X-WQ7Zy_i4XLp*ZP`44=zcn|K`5|3PT{zD%t-b3-s!0{m-x#;|d zW4y(CWLQf)a?$yGey+T}e162KUo4*=G4kH)m(Ty7sn7r7KNtTBapAXa3yq&=kBzmS zuf}-sx0V+#9{(M=7~6Y~f6hb2n|yFfJaWgT~i)NJb z7bCalkH`6oc$~lJe9jY(^B3{RMdx$=7o1nlU%YT+Ie#&7d;WNwzlg{Ai_Ygf@i>1G zFYBswKIeb_YUTVJK3~rNd~r2@{&<{!!|*tN{G{a$N7tRvwbX`pj@|{$b0B zF;OEIV|(xQ|MnHdyJb{MJaWZoR1`9=YgzuHPAP4fys#vwZtPAo&SYfino1? z|TjG(6&fodW;*I@OOFVMX`D1@myj?DDiAOFv zf7hLgx9eY9;*pEa-|d0o?S5cOJaWPWKJz?0 z=6?;t%Um=*^E^D}e+|RSTr@uOJUr%q4a3V^G(Ph@Jm!B5!^>PWKJz?0=6?;t%Um=* z^E^D}eGS9QTr@uOJUr%q4a3V^G(Ph@Jm!53!^>PWKJz?0=6?;t%Um@6nC*+l{0~1F zUgo0lndjj#|7#dt=A!YL=ixE`YZzYUqVbvM;W7Vf7+&V0@yGtAc+CIsli_798lQO{ z9`nD3;bkrwpLrf0^S_4SWiA?@c^)3~zlPyuE*hVC9v<_*hT&x{8lQO{9`nD3;bkrw zpLrf0^S_4SWiA?@c^)3~zlPyuE*hVC9v<_*hT&x{8lQO{9`nD3;bkrwpLrf0^S_4S zWiA?@c^)3~zlPyuE*hVC9v<_*hT&x{8lQO{9`nD3;bkrwpLrf0^S_4SWiA?@c^)3~ zzlPyuE*hVC9v<_*hT&x{8lQO{9`nD3;bkrwpLrf0^S_4SWiA?@c^)3~zlPyuE*k&n zrHjY>4?h`R=A!YL=ixE`YZzYUqVbvM;W7Vf7+&V0@tNo0G5>2AUgo0lng4zBxibF~ zPdmQM|HR1c`X3(iKM{|4pXhw%d3enKL_BiQ`ONe1nE#1*l5=ixE`6Y+9hSm}J`d3enKM0|45`ONe1nE#1*IWMeq zKJz?0=6@o7&I>D@&pZ#0`JafN^TJB!Gta|g{wLy-i_T}BhsXR+#3L7-&pZ#0`JafF z^TJB!Gta|g{wLy-i_T}BhsXR+#LIbMrSr#ZUp(f0_z<65bUyPuJm!BQUd{_EozFZE zkNKa7pYy^>=QGd4WBw=N=e)4e`D1@mJm!7)IS&kvoc+}8z4t%!JUr%qB3{l5E8X## z=ixE`6Y+CiSm}J`d3enKMEsl=Ryv<~9v<^Q5kKdJmCk3LhsXR+#LszQrSqBR;W7Uc z@pE2S>3rsSc+CGq{G1n7I-hwS9`ipDpImf4^E^D}eC*qTf&S##7$NW#kBNv^|JP(igpNN<9!b;~e&%C*tS4u+sU=^YEDeiTLEA z^O@)2G5-_s$VKNf&%C*tM2u+sU=^YEDeiTLEA^O@)2G5-_sa$Z>J{G*pH9`inY zh)*s$pLrf0^FI+U=Y^HdXP$@0{7=Nsd10mVndjj#{}b_ZURddT=6@&urOf}tQ*JEt zKQZ#&@t=7f9`ipDk6d&<^E^D}eP6@ za?$x?e^Wf>efSWMTy#G3JUr%qA|AQueCBz0%>P6@a?$zB^YEDeiFo9q^O@)2G5-_s z$VKNf&%C*qNd&S##7$NW#kBNv^|JP(igpNK~;I-hwS9`ipDk6d&<^E^D}e&xCCzFe@y?6e%$p0zcqElPqk&Dh>Y?tCK9=|e!^MH8dqVt#9xOhwbtR)_~==`N; z7H^r+E%C@j=fCIO#e2`4E%C@j=fC&J;=S*qE%C@j=fCeCinr|PE%C@j=f8i8;=TXZ zE%C@j=YQZ^#rxowTjG(6&R_0*#ar(FmU!f%^FQ>B;(d6PmU!f%^FRDr@jf!SB_6rx z{N+DiyygGBB_6rx{EvR8cpuxnB_6rx{1rY@ycHg8iAOFvf5p>^x6;}z@yJEzuk64>im{?ag&XiGeD(fMnCqIhe+)Dn+ebp9tV zD&9Jqw!|YBoxjc>iZ^U_OFVMX`JWnBymg1P#3L7-zwXn;Tkoirc;uq;s4kY zk6d*A`d1cjgDqR)k&DjX;BUp-@aC3y^)nGK*VQVW&pH_%>t`Z$7te=VaozFTM9_wc!UaqTEI-hkiJl4-dd~(tGtdrrf zekS7Ox>}|4$829b*3IxCKDp?8*2(Z#KNInCU9HmjtdrrfekS7Qx>}|4Str9|{Y=Eq zb+t<8kNr*YSU1DZb+quv*-zcxd;haehR6Duh?nbXmG1bgli{&`CgSJ1TBY+@C&Od? zOvKN1wMyr+PKL+&nTVh3YL(7soeYolGZ8=6)heCOIvF18XCi*Ct5rImbuv8G&qVxO zSF3bB>tuMWpNaV7qVriN!(;tS#3L7-&pH_%>t`ZfuB%l#pLH@k*3U$Ia?$y$li{&` zCgPEc&S#wrkM%PVFW1#7ozFTM9_wc!ey*!kI-hkiJl4-dd~(tGtdrrfekS6Pi_T}A z43G6Q5ii%(DxJ?d86N9rB0jn3eAdbESU(f-a$T*``A08ZJl4(dAwIe2eAdbESU(f- za$T*``K*)Sv3@4v=ek;@^I0duWBp9T&vms*=d(_B;;Lo+tYLgVUgqMe{qsN8$?#Y| zYZzYUqVZWL!&^Mo%^HT6xoCXW$?#Y|YZzYUqVZWL!(;udVR)H~#%G-jkM*;L;bkrw zpLH@k*3TM-m$_(s*2(Z#KWi9X=A!XgC&Od?tYLVWi^gZ243G7*hT&x{8lQDCJl4+| zhL^c$eAdbESU+nRUgo0lStr9|{j6blnTy6}oeYolvxebiE*hV8GCbDL8itp-XnfYm z@K`@<7+&V0@mVLsWBsgQc$tgFXPpd>^|OZIWiA?@buv8G&l-l8xoCXW$?#Y|YZzYU zqVZWL!(;udVR)H~#%G-jkM*;L;bkrwpLH@k*3TM-m$_(s*2(Z#KWi9X=A!XgC&Od? ztYLVWi^gZ243G7*hT&x{8lQDCJl4+|hL^c$eAdbESU+nRUgo0lStr9|{j6blnTy6} zoeYolvxebiE*hV8GCbDL8itp-XnfYm@K`@<7+&V0@mVLsWBsgQc$tgFXPpd>^|OZI zWiA?@buv8G&l-l8xoCXW$?#Y|YZzYUqVZWL!(;udVR)H~#@{gJZ^u3q^~U^7JT9&Y zxfprx^Yh4t9aE%C@j z=X0HoiF4=rHH^<>=Hgg?{qVSc4a4L59U~f_>x9SkYZzYUqVc&-cwE1R;bkrwpX-Fj z^=lYj=A!YrPDe%UTtD&X7!%0F$nED3kLxGmas5Q+bDi+Gej*;Z=zOjd9@kIABNv^| zb;9HNiFo9q^EuA=xON<0JSxWIJg>^gd#@kI!Q=QMex6sQ^Eu9u@$AU)8$Ml*f26pQ zKRzDEZx|lO$4?rc;~#NPIllPyapm}8N)`J`m5Zor1kI#9|_;t(|;`i-})Sh@kg>zfnL|7S$~Vq6bhm%82hmv0__*dp!$ zk^N}DF!Emi-8&VJ>yNKKkkgmCz1LsrQ}KK1SB&+754M~UzyFq8jP1StGF!y&PF_8( z_eWb!k9h~V7~6aOAuq&vpBVGK3tDnM_4$0@asM^EuzY?l6OF%d^){VQe8}gRTy)3(^l@>XF$Ru0w&m2r<9>)-jP1SW zpVu$G?~Bg~e+M)f*HhQEZtwNEPM5~|9rvHeb*xOC z->*$S5XTqed~{xQd#_LbE{(B|{xyvKWG*iJ_eU2R|F)b}&i`}oX?e}H@jf|nG3x3) z{&sPnFz)Oa*I&G5ZoE&8T#UTe-}=MR_qhMIq5qZBe;4nQBNrp@^|`(mUmWk77U4cv|ku`ug`mL{@y9)FH#4&__n|QyU_JtbVmH!`Jr-rk@FxIBkw)_ zx@X1TNr=x5#}_#daxwB=pFUk4HI9zYkw_oa*Sfve-|1V?_wUEti_byjHFw7Q)5yih zd;Q~IEZ&JPwZtPAoxkHRW1KiD-Z#hf5@*C`fn1Ed_xNMBjNg?XpV#9rZ8`l{@%}k- zF}C;mJ02g`?aMLu{Yp#DgItX5y*}6Xvgp_7s9B^B>Py{jea4#|zZmy_CHv8SVdT9& z*NO3t>nBnVx#-64Z`@eC6MoW?&jGpU{F9U?Y%zNhw*~zFLE90bxXSOkL$#^ePTTCiCjlr*Sfv;_@}R4j(f%)Ew73G zk&Ev5oG0TIzDOOat8VW-KI6kr#J{%*&0<@h4!K`ut#>uDelvd- zxt_YNb$hQrICU^M;rZ}?*7D?-cae*+-TH^b*uTiMc;{Wo{?bn9oNp#`a$SeOt!oCZ2Ogp45^)kc+Xs*B=ts`OG-hGW)eWXIRXiBNt$P#oMe0#qb-VX>EcH(iV}IIj zaz}IgpO()F*N@K&WIsb97bEXI{)W59*c+dn5%GT_*Nt3^yw~SGIs5*Y@5kp~38T@?RzUeR*W zwSxh<7~6Y~e`?HoCQXh1i!oDV93mGZ@AbL9=Ui3BACW$&FLisb|NH^bx5>4CV)&Vh z3;+H0g`OX;zbB5h%V2Qhs17d~3^ErN{`=Jn`P4aaWQ=_=XBN2*x-NBl@A+RpJG>aD zZj5_aHC&YD+xx@UphT>VjjpgIW#kc+av%fq432&7CiPWLGVC22W=RD6` zbuhSXjh57*y6W~`pYxo!O8mXx_{@pap}OjJ>!10hQoqQ4v|ku`uh03Naele}BK4@Q zy1n0z&(9fS%IBwH?2pe6`%8}LKR)y9Zw{;XzxYs@e={D`?Y+n6K4sqW$~RhaKkL4( z+k5>XQUA$@RbPz#WiB4pfBt+OYY&VcBKy;R>vns5=G!M+UFPqQ{b;|?&EKD9`>go) zW`~w19Ut$1A{S$O@A3J%|2!ug z6LSQ7k^ShoqHedxKWb|6MfSt-#mIa8r~VM{y_OgZo{4*2WC^V$E%TZ=EUpL*P6pu09a{eOw(SGapUZ3;Ch%e3AXs<0d2TJw9LeuHTjO7uk>YTetW6oF^XVFXEGnk@x!Sf3R`! zMfRifs@wnGuYZ5`UHiv-?(^f=e{4BB{{1BvV|%Z^$aTeAbkml2JFz7m zx#)b>{W$-{?{A4mE;@gS{foCmtsml%i_U-db;VzD)0TMTqVt#hMe&B5*bq8gTjG(6&R=@};w>F@$JY%Y9=YiJ<>FqSH7>qi`sfb-E584lTpZW``T6jQ#rtsl zo6UZb;bkrw|08=A?<4=wVR)H~#$SGV@jkjihv8)|8vmoz8Rz}6T7NRU%thm`Q0rfz z)}IV7bJ6%K*7{eh^(Vv2Tr~blwf>LS`jg>hE*k&iwf>cB{mJk$7mYu()<3k?pA0W^ z(fF&>`d6v-C&SBJH2$i!{?%&z$?!54jlWv0fAv~_GQ7-1xh_-oes z*R1s?!^>PW{wHevYt{Ob;bkrwf2~^o+O_^K&RqIcNm$_(s<~rQ}>(=^{;bkrwf4y4&dbR#!c$tgFA71MpUh7YWm$_*C z^=thb)cTX*WiA?jgIfQFwfraN4xoG^6wf>Q{{$zNWi^kum*1vJB zKN();qVYGb^>0$^PllJdX#7oU{hQYMli_798voO^{!iEXli_798h^7||7U9b$?!54 zjsKZi|K_#+WO$j2#{X=s|FgCJWO$j2#^0jWzeTM-8D8e1@kiDAx2*Li!^>PW{+6}= zt!n+r@G=*T|G8TK=W6}Q@G=*TzjdvD>so&@yv#-8Z&T~vw$`5vFLTlO+t&I&U+YhX zm$_*C?P~qo)%ug+WiA?jbgh4Mtv?xF=A!YxQ0w2m)}IV7bJ6(Q*ZOy;^(Vv2Tr~cU zwf-Gz{mJk$7mfeLTK^Yo{mJk$7mfd=TK||@e=@wxMdOdD^?$k6pA0W^(fD7f^?#+- zpA0W^(fB*n`gf}JC&SBJH2%)D{;{?GWO$j2#vfbj-=)@{3@>xh_`BBncdhj&!^>PW z{%*DY-D>^G@G=*Tzk98Jk6M2+yv#-8?@{ajYOOyRUgo0l_pJ5rS?f=Rm$_*Cy=wh? z)%ug+WiA?j?^^%3T7NRU%thmmtM%_w>raN4xoG@-YyJDy`jg>hE*gKoTK|5v{$zNW zi^ku-)_*{)KN();qVW%?^&eR4PllJdX#9g}{Rh?hli_798vo#0|G~BXWO$j2#y_Oi ze`u{g8D8e1@ei%_f34P^3@>xh_=nZ{53BVj!^>PW{^7O$!)yJ?@G=*Te?+bS>$U!5 zc$tgF|9Y+e$Xb6gyv#-8kFWKQuk|Ox%Um@6QMLY~YW>OZG8c`1bgloGT7NRU%thlL zQ|mvr)}IV7bJ6(6)%tl?#dBmbyv#-8A7AS~zSf@%FLTlO->CJUQ0q^Im$_*C6Kee@ z*7}p-WiA^3q+0(;wfMjtv?xF=A!XWsr8>)>raN4xoG@TYyID>^(Vv2 zTr~b^wf@s;{mJk$7mYum)<2=vpA0W^(fFs=`p>BKC&SBJH2xX2{xfU+$?!54jX$y0 zKe5)I3@>xh_>*e=lWP6R@G=*Te^#yk>{@>^yv#-8pIz%er`DegFLTlOlWYBxYyHXa zG8c`1(d76(!GDSGy;`~Dtav9xF2?rW_cv4K7w_U2d)N=eBNv^2$&lh*GP5Nfx#;{$ zM;GtX*IMF{i_X7na`7%-xg{RC=={s)7w?LwJHBoJ@yJEzUpb_BSI%sSM=m;l>geK4 zeXS)Px#;|9lZ(gq3UdAsk6d*ARr8B?^|+RJ#F+!BvmbpG}8i+4lR9bY$qc;uqC$VKPhG`V;; zuiO%kTy*};^NaWGs5`!H0P)C0=if4___haBNv_jy&=W>-prPGW;4) zKs<8M`A-Zf-V-xh;*pEae{yv3o_wt(9=YiJrzRKg>6KgJk&DiMdVcZdMcwgr1Bgd1 zI)DC<;?1Ag5|3PT{xhSC_snZ8@yJEzKRda2&#l}Nk6d*AbMuS$eAFFZH-LELqVr!E zQoI*tw!|YBo&VzK;=TAPn;*pEae`$X4UXHrs>jn^yTy*|>FNnEj z{QS}T;`^-{&W-QgBNyN4=a1_5-#rySw>>?+SNQ3*Tk>hE*k&xTL0y>{$zNWi^jjA)_-NKKN();qVcb+^-rz!C&SBJH2$<& z|Fl|vGQ7-1<6l+lzpB=s3@>xh_*d8Z`58$1kPI(#(fIt13A`D#{$zNWi^jjE)_+Z{ zKN();qVZ?e`e)Yqli_798h=);e|D`u8D8e1@n_fiudVeb!^>PW{>>jN*YD;#It(v! z(fHrqqj=wbv%~N*7ma^QjQ#ZQ));dehL^c${9AuiyxYci7+&V0@o%s7-(KrahL^c$ z{O{EIzfch>ro;bkrw|IS+fcWeF0@G=*Te^;&lu3CRGyv#-8-(Bm! zyVjo!FLTlO_tg6Dt@S6v%Um@6y|w=PYW>OZG8c_Mr`A8G)}IV7bJ6(s*ZS|T^(Vv2 zTr~doYW)w?`jg>hE*k%VTK|K!{$zNWi^hMb*8fngKN();qVXTD^*>zePllJdX#7WN z{c~&m$?!54jX$^6|7fj08D8e1@gJ-8KUV8chL^c${KsqkkJtK>;bkrw|A|`vlePY2 zc$tgFf3nv9RINW5Ugo0lpRV;kUF%PVm$_*CdA0s|wfPW z{xh}yXKVe*@G=*T|6HyAxmtfRyv#-8KVR#AzSf@%FLTlOFVy;9to0|u%Um@6`EmRU z;@RW;IDW&&;=jqoVg2*>3*z{A7sl}$hL^c${0o0nJbqUielonwMdMHTSn;OZ(P4O* zi^jj0I^(z(*ZPy;WiA^3l3M?zwf+i~yv#-8 zUs3DlcWrR|WO$j2#=o-G&$BLmGQ7-1<4>*iPpkDO!^>PW{#CX9t7`qp@G=*Te|4?@ z>RNv?yv#-8Pp|dQsP!kq%Um@6j9NdxYmDoc3@>xh_%mz$Gi&|H@G=*TKdaV1tJa?k zFLTlOvupj=*7}p-WiA^3raMdBH-Emv@G=*TfAhZ=@7rg07+&V0@o!nbc(**=VR)H~ z#=rHb;@$S{4#Ue_H2!V1{@ZK)$?!54jemQs|2wt*WO$j2#=oQ1e@Cr98D8e1@$anl zf4A133@>xh_}{Jd-&N~RhL^c${JU!Xch~xp;bkrw|DIa^J+=O1c$tgFzqi(ZU#&kG zUgo0l@2mCCsr4tr%Um@6oLc|=wfraN4xoG@{YW)w_`jg>hE*k%lTK^-p{$zNWi^iW@>wmP?pA0W^(fE(n`X8(H zC&SBJH2!0?{>N+m$?!54jsHZg|A|_EGQ7-1<3CyJf2!7>3@>xh_)pdPpRV;M!^>PW z{?oPodA0szc$tgFpI_^rU+YhXm$_*CXKMY=*7}p-WiA^3*;@Z|wfraN4xoG?sYyAsq{mJk$7mdH5*8ftiKN();qVai7Iq$dS`9(bc zjPm>fa?$xbr{MA2BI1#Y&gVG=kLMQ=k6d&<&nb93w}^P;qVsu9 z!Q;6_#3L7-&vObM&o3e#x#)bJQ}B3h5%I`H=kuI`$8(E_M=m;_=M+4iTSPo^(fK^5 z;PKod;*pEa=Q#zB=N1ufa?$xb zr{MAYBI1#Y&gVG=kLMQ=k6d&<&nb93w}^P;qVsu9!Q;6_#3L7-&vObM&n+Szx#)bJ zQ}B3h5%I`H=kuI`$8(E_M=m;_=M+4iTSPo^(fK^5;PKod;*pEa=Q#zB=N1uqJbUx21cs#d=c;uq@ z3LeibA|AQue4bPAcy1B#$VKP#oPx)5i-<=qI-lnhJf2%bJaWTC6ZV~awMd$OJ zg2!`#P9oV7+&V0@%ec@ywlI=Fucq~7VKD866x@ecnI|4lBw)89|5-+wzczHj!-_#UpYe``50zL$$!jP1SG zf0vt!x9b)y@yJEz@A~WF?RHvAJaW?k&Dj%>dnR9 zbBmUE-i7o9)u(Bh4Yy5s8x5RY7R{ysMsZ{ICi z;*pEa-}l$W+wZiNc;uq<_g}ks`#;hWk6d*A0f!dvfT%mZZUFJfMdu%QbMX$^q9q== z=zPY%iN}}mPn@(&8UMt{d+$HSIXuQc5szGSKI0r7}9AaTbs9SH#b8xzhQJvv`cZB7Tm`mCk3J#bf*x@pD|R zbUx!O9^&f+od ziugG$S2~|@7LV~)#LscL()oNl@@fd#_hL^c$e8yQk#@~kF zWiA?@aTbs9w_$jhi^gZ1#bf+!7+&V0@fm;5h_RCSr+8+JrQ~Adz2g_--{}XI@vq^Z z%lLP?cwoQJIETmh*D$=yMdLHh;W7R-3@>xh_>6OSjDHQo%Um=*;~XC2U&HV+7md$2 zhsXHWFucq~<1^0TG5$3SFLTlOjB|L5e+|RSTr@u893JCe!|*Z}jn6oT$N1MUyv#-8 zGtS{L{xu9QbJ6&Wb9jt@4a3V^G(O`T9^+rb@G=*T&p3z2_}4JJ%thlf{+<5cW&9KQ zyFuh)PWKI0S~<5$D* zG8c`{IEBaf)iAuwMdLG0;W2(S3@>xh_>5C{j9(4I%Um=*;}jm_SHti!7md$2g~#~S zFucq~<1ny74gVL z=X0OpasP^V?+`l3ox#)cEQ#|fp5szGSKKCge_pgXYE;^t4 z6p#B?#3L7-&wYx={VU>;i_Ygh#pC`J@yJEzbD!dI|B86zqVu^=@wk6QJaWi43GOy#LxS(()rwHc-(&?e%_ar z&gVYEcZztRzyI*K{~CtJ{fD14KKB_O_g};CG8c`{ zeTK*V*D$=yMdNdy;c@>p3@>xh_}piB+)e+|RSTr@uS86Njv!|*Z}jn93C$NkqZyv#-8bD!aH|1}IR zbJ6(RXL#Iy4a3V^G(Pv=$!nDRui*pb{ySM*!{2{++$bmnTy8fKEvbwYZzYU zqVc)U@VNgPhL^c$eC{(m?!SiNWiA?@`wWl!uVHwZi^k_Z!{h#I7+&V0@ww0Nxc?f4 zm$_(s?lU~@zlPyuE*hWTcX#5b`2M;0UDFMJ5dTdsj_SYv_`P>{{QcsF;bkrwpWl0j z_v~>UhL^c$e17j8{&V+q7+&V0@%eps_|Mn+li_798lT^LhyP-&KN();qVf5?clZlx z{mJk$7Z>)o87%SMMFz_ahAq43VDQ1s<9qGn>(1Mu!yngN{J}!~TgV?g96u}YFU1$x zAG!Fp-+%Xa{*3ruzh$0^pINA6KiV&hy!-sJkpJGLWBX0<`_pb{IdiM{{b`YlvAy4q z`Y)Rs{@9l6@4b*+>vNqijd%52 zf064+E=Jz#U${>EtkqBB_XWn^su%g$C2}$HUjMxKySL|td)<01FIp!05xE%Kd;MWY z#`iB?9_wGfX?gCRvHlgg7~A{(__>+Wo((^K*PqD#hD9z$-tWh8PL1AuD&7H#?1$rv zk@xyLuO5Hv;yv;AYEEi-R%GO2Z143?T`krP--z$E*spLI@r zeim!V=ZRd5?Y;iXi^tEJ&5pl69mf=}Tt9yQV&r1vz5ci2`$jI^DaOBDJB-gy=HgDq zzi%+O_|)Qy?C)EVi;>&o^SR~tBKzU^qWk=QD~`qI_vPrn$mf<^bf4erUy1iDd&KpR zYcA3caxwDW^M5+7{Yl5izn>4ZYS!FQze*Df#aZ-F1$;HTfeU5X& ztoZ)z`1eQTd~{xQ```Qe`{VI%)T|B4-wSW}r}+EhQ9E3xoG?k#yE?&Tzvn2!|*Z}jsKxh#ryD*9fp^= zX#5W^DBee=b{JmfqVbpCr+6P-tHbaz7mfeXH;ebNIURme%N7nnTy8%_^jfsd`O4kWiA?j=aQ1Xjhi|QFLTlOYmP78CpPIYyv#-8uQe#%TJt&#FLTlOYfmZOCwJ>G zyv#-8uQRlG>%7rnc$tgFXP(7<&(H5P3@>xh`0I`;-g--R7+&V0@z+~Wyx~(j3@>xh z`0MXeyba=lbNxh_*;xG-l$DF3@>xh_*)K&x8=MJ!^>PW{#H|p_qp9V3@>xh_*)Mx-qvq) z7+&V0@wd67c-x-PVR)H~#{c}N;%&ENhv8)|8h^V5#Tz}f!|*Z}jsJyxinsk*9fp^= zX#DNpEZz=tIt(v!(fB(~DBc&h>oB~`MdN>I+2VcahaHBOxoG?`vx@iSLplsEbJ6%; z8D6}vywzcNnTy8XX>Rd$KBvR*G8c_Mc1-bhS-!*YG8c`%%j?D4^`;KP%Um@6ZsUu$ z`z9TRm$_*CJqE?wV_t{hWiA^3t5b@%=WZQ_m$_*Cy@nQVuQxgjFLTlOd*4yKaVK;b zUgo0l_Zd~ZeV6Poyv#-8@4KLQ`%UdIyv#-8@4rv+4p^(h@G=*Tf54l?J8({i;bkrw z|DXxQJ9xVe!^>PW{vpd2?~osM7+&V0@eiFxh_!Ea0Z{k}WhL^c${7G|*$66`RU&-(?7ma`R znBtwYe23v>E*k%w*NZp#rVhi)Tr~bgasD%3h_&Z9e{t3ov35*dm67+ZpI#j2k9SF& zzlcXJI{%V5e?0znk%&hwI-lQLhj;lVE%C@j=f{_(f5p6(c;uq7$A_W673yXI74ODFTH=w5 z&i~f%;(hC_mU!f%^KY74yqnKyiAOFv|J!4VcgylE@yJEz-|~9#ZoR1`9=YiJ+r}5~ z_Dx#ik&Dj%&Y*bTnb#7JTy*{&Q;K)zZY}Z1MdyEaXz{-LMoT<$(fN1XQM|iPXo*KI zI{%(g#k+UOmU!f%^Y2|yy!)oM#3L7-KWCrf-M>~#JaW}Lt5gIi_V`rym)ipYKccKI{(qR#e3|WmU!f% z^B*5myeF1#iAOFv|B2U&_vB42@yJEzKQ+F1PjAu^k6d*Ayg~8i#s7$}8$djA(fRYI z6z`ecTH=w5&VP1j@t%F7B_6rx{O9f{-t#B4#3L7-|H7!^y|`pcJaW z$VKPBv`_I~UaKV@x#)b}(_eFKd4Dg?{91W`FGk)wf8{+r9`El(JaW9=Ygz-qYjp{$9i*7oE?0dOY6Wi+JRs^FJ`Oc)YjA zhj`?o^FJ7$b3ESPi+JRs^FK7Ic)Y*Ihj`?o^FJJ)b3ESPi+JRs^OxVJc)Y*Ihj`?o z^FR7#@pyla5An!F=dUoKc)Y*Ihj`?o^LbB?$NPH`k6d*A$7dCf_xJb^k6d*A(BZ}7 z{XIU!BNv^&%G~1d{vIFVk&Dh>ZA|fae~%CG$VKO`{(A9ve~%CG$VKO`Ilg$jzsHAo zG61fFXEAl&R=I}@pyla5An!F=MTH1c)Y*Ihj`?o^Vc0! zJl@~qLp*ZP`Rgqx9`En*As)Ht{Pp)K9`Eh(As)HteBRUJ@%~=KBNv@NVnXqFe~%CG z$VKOGv~2Nse~%CG$VKOGJga!TzsHAoj+xgIk6d*Au~Ul2`+JTL@yJEz zA3wBsyuZhXc;uq`+IzdM=m;l(%jFW$Uwx5OhCo&WOKcvrr7{QT)k9X=mFk47#o-hcd8 zepS3zZ|g9;%thn#Gj5l}&kkPnYKPC2pMASTT&(~2Q>GX1;sZJiFLTlOmkcZ3CBN@5 zyv#-8U;1G2E}Ph4c$tgFzkG+{U9nt;;bkrw|B9a!@5<{t3@>xh_)`xr-n0=NhL^c$ z{AqtF-c?U@7+&V0@vlC=c++?8Fucq~|p^!|*Z}jX&!% z#hblYhv8)|8h>_t4WIvOFY7R}%thnh{I25NJio*6G8c{i?Td?d%kCY9m$_*CTUROG zt-tOtyv#-8^LOf~|MvKK?S|oHE*k$kvCx5c$B+)g%Um@69WNE{&S@Qnm$_*C@9tZ? zyVmY7yv#-8^LOSr|GV$+Fucq~$bJ6$@JX*X5CwCZL=A!W*`f~9e{%D8cWiA^3;hz=nk()aV zFLTlO{GB)Ie{|Ch!^>PW{$uYd-edDS3@>xh_>W&)yeD?=Fucq~<3G7d@t*v3hv8)| z8lS(@M*UBp*kO2?i^iX~W%1?@=`g&^MdQzZsd&#!>oB~`MdLraZ}Fa6yTkA@7md%~ zS)=~v@9!|Y%thnBaC-4x9Nl4fnTy8%X87mC-0!sT8^)Y2b8)5q`PYQ^7jMEpb{Jmf zqVZ3kUA!|6?J&H|MdP2je(}!yV~62oE*hUY&$^)0FP-uX9m;!(edM=m;_ zI`ODq#3L7-Pn~$wFXEAl&focm@vJo|);njlvfTe-}low{CU|k#?PPh=-tQ9pS11kzW)83;r#qbx&Cu$)yn%h!}^8M%1 zs+IS1hV%0$<@?X2RV(lLf9b*F`A?6!!+8GFwy*p9_ndQ{|CH-L7gnvj=bZEWr+ojp zuxjN!=bYz1<@?WtRV(i~=RE%@-+wNwT6xbo=lM^0ds=zVIp_ILx&Cuu)yjL$InRH} z+tbQ>&N_4lyZ)3&es`uCi3p8u4yr^B6+P=Q_^}mt-74FAwcEC^YYJKeSO_ufB$;>-oF3IY5V8ppS$|{y8ePcYyVx@lgIZ@ z{W|Pv+e`n(LpN-=r2Y3bQvaO%bG7a3`Y(RD{bzL_Y4-b$#yN zuDu_cySM3ox*xjHzkgpkzx`*8f71T{TR)!l>#?Wp>+61h|GE?Zp#A<|J?o#Bf9~q* z>-vX1sQvG%i`wUoUNU>azqZf+wLNWLU)THG#D9B#w(b4??D+b+{)_+8{{NXT9QQ|; zzM=j3+tU}W|NZ^z+%3K2m|6dv{Bu`dFTelGt{LAy_0P*cSKGd>_viG&-)R5)?l))s zdFIb`_4WViSNBUd*}Z+vp#AsWHo1D%fA7kk{-^)`)p-5n{^_~HZF>AHcipz9mEUZ? z;Wod;EN4$Ezxidu{o4CxIeS|9Ee;>}ln<+-$flKR?Ua)5>4}q~W%D+$?8L zE5G&5!)^V8Su-w2M>4S zJIr$SwDLFp$#6IM=qzVXE5F^#hx?6(%yRa$@;AN7a5ueVmb0gozu7y7+x{7|oIS1l z&37N}=2y>h_O$XloIBhtj-Tc1Y2|m^Z@AyQ#Vlt}EB~994R_1;&2sj%^0zvCxSj4j z%h}V)-+Hs*ZvFXL&Yo8OHYW|Y^W$bYds_Kjb{=k*AIx(0wDP}o=5V)t(JW_AD}THD z54Y>qvz$Gx{H|Xe?zc~w}loiaoKS9eBUf*Pb;r4voEN4$E z|9d+R_j^B>u<8Umfm#r_6HpwDR{qc({G; zFw5D~%J1`&;eP+4vz$Gx`~zM-+yfsn%h}V)?|YNs_Pu15v!|7R&^w2F@H1vPds_L2 z>^|H>uAb%WY2_b!?r?u_{48frEB~5tqzz_O$Z;&f)g;4KIGiEN4$E z|B~H@d&$+aoIS1lk>?Kg(&J}2ds_LU_8abHx0vPZY2{yb*>Fd{Zu-f4;${po6d6fwDKo@ zbGU19zjdSckAH>xtsD9FOYN`!YjMAIBmesLpIfxw--c^(zjY)3##;`5E$+8&zjdSU|IW7#|E{OZ`o8wG`u=};=i#o!{nm}X|GUo_ z{#x8`-N^q6_ggpefA!7r{ZD)Mtlzgit$zQ%!u{5bzW-lu7~l7=&!6>u?P>M>e}((4 z8-4!|-g1234}NFX_qC_h_y3#s4|gr@w{Ge)hYfcv?ze9A`~TE8hd=k-v%arAt-k+xhYoiw z?ze9A{mx{?1C?ze8_KYPpZ{XhGiS-)?4TK)b%_x|Co#r@WezW;@L4Sy}} zw{GPB;S0lGi~Fq``7a(g{I$5>x{>!egSYHCK7Ww@#pdJl2Wi{a{rrN@8E`&-kaG64 z@;+z4`TRl3+0)8ze%Ww7f57+8AvAC2S6^TE*T2Q#!}@YoSk2NeO>Q!2At0y zq+I`8!m4dw*ZZ6S=ko_CZ%-@la|WExAEcZ;t^77;4(Ia+eE%Fm^LBpq^>u&!K4-xB z{6Wgu)9U;CoB`+a2PtPyEAMj#oX;PmoIS0)&lzw&e~@zawDLY@!1??^%GuM(`dC9GQc9rqi~=MVV)IfUly z{yD3!ulxG*IRnn;4^qyaR^Q*}3^<=ZNI832d7m@jeEuNi`sWf>t-Q|}a6W&K^7gdy zK4-xB{6Wgu)5`mt0q64vDQ8bB?{fy6&mW|mJ+1t%Umecp5BUB$gy!x1>g((N`|ooG zoX;PmT>o6cs@3=RIRnn;4^rNqR^I0fIG;aAIeS`ppEKZm{vhT0=Mq+}yw4eMK7Ww% z{c{PcR^I0fIG;aAd3#!UpEKZm{vhT0=Mq+}yw4eMK7Ww%_O$XoXTbUVLCW>dC9GO` zpEKZm{vhS;Y2|&+fb;o-l(VOm_c;U3=MPfOo>t!H3^<=ZNI832d7m@jeEuNi>}loq zzW;DOf57+8AvAC2S6^TE`Sm#i&gTzO&Yo7^-{%ZCpFc=Bds=y)GvIvwAm#e!5>~Ce z&lzw&e~|L_wDLY@!1??^%GuM(`eB-G}q}1HOL_p?N#M`ue*6{`s5% z=ko_C*FTrAYW4kn&VcjzgOszRK^Em^~=MPfOo>t%g$>$E|^9OwY976MU ze)aWrzyDMB8_wqs`2IPB=Is3H>+5=-GvIvwAm#e!5>{>dy58puIG;aAd3#!UpEKZm z{vhS-Y2}}F(r`Y1!1vD~G;iluUtjmv?{fy6&mW|mJ*~dK&lzw&e~@zawDLY@!1??^ z%GuM(`+Ame@BQ18Pap5!rl&k_ynmaveO>Q;TF(2oDQ8bB?|oX%`?o1)Pb^Bt-SYXIq%=5oIS0)_h~ur-=>^Bt-SYXIq%=5 zoIS0)_h~ur-=>^Bt-SYXIq%=5oIS0)_h~ur-=>^Bt^5%;8P5B*ymI!m^4_QAynmZ= z_O$Zer{%nVn{xKF^4_QAynmZ=_O$Zer{%nVn{xKF^4_QAynmZ=_O$Zer{%nVn{xKF z^4_QAynmZ=_O$ZHo-~~IZF%MFY32Xy1LN;~c=Zd`^mFaMKW0xi{`(&``uy%|?latp z*IU!(`kog4#4ir_=Wkro=K7u%{)x~3xCqT5BItcuW56APYeJ0mk#%a z2d-&zeNPMj#v2az#;>nwbA3+>|E9MM_vWXrX>)x~3xD!mhCBIZYua4j)54$f@!{U` z$~A4S?`h%x;tz*=>&@1*xxS}`f9pRD_qO+}X>)x~3;*_K5BH9Hu4!|9PYZwQb%s0j zGi%yh-_yds^EJc0>(Oi4T;J2e|K+WQ`^$e_)8_h~7XIBI816kUSkvbEo)-SS_ZjZ5 zuD7Pm^*t^8Uwv`7)84qI&GkJk{QDk1-08Pl)8_h~7XI{qAMUR|yr#|dJuUqEUpm|e z9=N8>^*t^82X8ps2fx0i&GkJk{NKE7xHF!*rp@&|E&Q2x8Sc!Vt!Z<8PYZw6$A|md zSFUMueNPMjp+6k%!#7*g=K7u%{=@$?+(+KCrp@&|E&Sg-d$_ajxu(taJuUo4uQS|7 zKeMLI^*t^8$6hns-#>ayo9la8_;YSG+&TZcrp@&|E&RtnFx)3zu%^xRJuUnv?=#$| zuD7Pm^*t^8r@lDcxo=$4=K7u%{=CNz_vzcMX>)x~3;*eVAMX4QuW56APYeHV#`}|Yaw7I^gg}?AF!(I5ZHEpi%Y2o*4e{RS9 zq`lwN{v6WdKib~EYJ1xDb^r7K|JnZBxJR`=hm^CYm48(GbK@S}{v1-yo>u-b?az(- zqxR>Ja`v?HfAq8A9{cfG&Yo8OajzV1|394N>}ll>xY=+A{L?IFPb+`mdxm@bvu8Pb zTKOm3bGRp7XO^?4m4D)AhCAprvz$Gx{K1bN?n$?r>o?{4>w4A7d!2G#zbS7| zEAMs6dHtqbe_gLyd9PE>>o?`?Y3036Ij`T8>#yroEAMs6dHtrmJ*~XgDd+W@a{YC^ zYURC7Ij`T8x2Ki&I_126Q?9?RSFQYuU)tO)+WXxPT+_?i`|0*{Xf>zbR)=EAKhwJhv%lPb=^FefiGg`Ca;h@%+9#-Ff}rzvq)x~3-3AQJikku>w8*w&nf5mUD{mV)53dBInVFX=K7u%-gC-% zewQ}a_q6byQ_l0dw7I^gh4-9tp5LX-^*t@T=alpOE^V&wY2iJmoac9GbA3+>@A*CY z>hb)hFF$uYziHdc=a=*Rrkv+Dt-R-y^ZcfqJ*~Xwl=J+ioIS0)=l5kNjpsK#`f=m= zP20Zi@85IEd45yQo>ty-%6WcM&Yo7@bIN&sQ_h}N-gC-%epAk#R^D^Ud45yQo>ty- z%6WcM&Yo7@bIN&sQ_h}N-gC-%epAk#R^D^Ud45yQo>ty-%6WcM&Yo7@^ZT-AjORB! zdiU}CrfpyM_3Jt1JijStPb=>^R{A zyR^B!r-k>Na-QF%&GkJkyyukj{4Q;-?`h#Zr<~_^X>)x~3-3AQJikku>w8*w&nf5m zUD{mV)53dxUwX-Se$%7gIiBCN?d9{!d45yQ^P5)QbIN&sQ_h}N-gC-%epAk#R^Ib_ zXf>zbR)=EAKhwJijStPb=>^Xf>zbR)=EAKhwJijStPb=>^Xf>zbR)= z|C9gy|DS(<@J9alXSBa}_^1sVE`IFn+y1TnXK!s!+t=5={x|aep5o*GX2S-5e{Fiw z%Qp1Czc_9Cx_;l|+s~dSw9kvTzdtth&#|X%U)O*6)9rogUE8n!;j^#5sQrDoZBN_R z*Y!uVzbp61zZ*XF&$Fj(U)TF}Zun*U@4K|$f9m&<-`DEv>-vY?Y&ie@2VVVsu=cd= z|JASl{?@M^ycIWo@(Y8HpUtiZh@)qrV!~NUOpZ1zd|MbP}=V9B^ zwy*0S`?%o_c+o6pPb>d~Q-(YEqqCent-RmotIi$2|Md9F#_vCE`?|k=zt5v~9KZkc z<$I3bf7Rd34I5tb<_%l4KZEB!x1H#?Vf(*Z zEPsaE_%mGo1pKVs^w{w;SM#$w&Cl@F_iz6h$p8D_gRi&$oqqJ^{RtfUtmi!8fTwKq zD;WPN%Ia5eQG2!hcYh1F-QUK&`uf^e!T+aU!8h73_r%Lqzk&k}+4#?3qhGUH_)g4CVG3Czlpy6LwDG0lMOG} zu+@fbH*C4#HtkiM|MxB%uDfA}%{M>%xd%VzfX6@c@rOL?$p;+zoM%1lfPP|9C+Acc#4}#xvBOhU?sT(_jAbmnV*2<$wRLJhDA)J8ZW18SQ)e zKmBR@##5VG{Vn(Zk6+s@|Ld>q;3pn-z#l*7@rNFIaQn6R_3g0j`oI46Ydvy&*X<8@ z#D+V4?cp2!iGHvDY}<78y6(Tg4YxmGum1ng-On0Z>VI1MT->Mo@xNQQKk)1gce>)u z8+LfucC%NXzoGAG`}$hH|C*nz+aLIQ&F$FypU+-%|K{7Cwy&@C58B9Icf*~2@U7zg(=T4UVf!Z>ID7SNH*9ZD+t=6iPuz02C!R6O+0)7& zwEu7iZ!yc+)5;(G!Qr0t*jdh=R{qJG5BKEv&vN#(@=tlpa8KQImb0gof9mPOJ?&Am zoIS1l(>EFJ>8H(d_O$YcJaV}9ztH;YKskF_`DeU$xM#NKto`e@SI(YR{+ZVd_pEo% za`v?Hhdz9`Lw_;L+0)8D`(495=V7y)J+1t6t{U#Sr_OTrwDN~NbhyKQI?LJ9${+sr z;hy*4S$d&R3~IeS|9 zWA_;D*l*8r_O$Y^JYl$3-D8%srP%>Tk?)_O$Y^IcB&M?>x)d)5@QC@o;~B^eksjEC1R% z4)@xx&2sj%@+TcN-0N;X%h}V)zwV;pUVr2)XHP5thFyny!&hcGds_K7zIeDd-FB9< zr}loS_PpWVe#=?To>uf4PYm~0hs<*JwDPBIH{5CG z%yRa$^6z`vaHrpRmb0goKmB9F{q<93IeS|9_isDg`_G=`>}lmc@TB2Bc!OEao>u;Y z9~tg%4w~icY30w@X1FsxG|So3%Afg!;m+D>mb0goKkKaF{`SCG&Yo8OLt75_p)+PV zds_Jq??2o}wwUGYY2`oi!QuYyv9p{#t^C=W4|n$aXE}RX`HwzkxQ}f*%h}V)f9&+( z{{B(3oIS1lIhzc3&S|rpJ+1u5A35A7Hq3JNwDO;L?{J@d#4Kk|EC0!BhWpgJXE}RX z`EwsW+_}G)8pl2|I}H|o>u-d4;}6^Kb__5Y2`0?`*5Fq z@GNIfEC1Omhx^=HXE}RX`Ooh=+~u-#ZyN5)_nYPHY309s#c*GF!z^b{EC1EKhx_XHXE}RX`HNmR-2d8Z zmb0go|6ku7?rX1|iU;oZ5XHP4C@rlFzym+{OyX`Dz zPb>d#UmEU*N6d2ewDSMH%W(hxg;~y?R{lpX9PU4EGt1f2%KyiO!~OXAvz$Gx{7-fo z?kAs{g#^+|{3&)5>3Oo8hkap;^wJR({JT40rvlW;uIW`RkuG+*Sw9a`v?HTW>ks z)@RIe_O$Zb>_6PEZ!yc+)5`z)2Zy`CV`n*gTKOApKHLr8Kg-$E%5VFa;cm3)EN4$E zf1}ffyYZuDIeS|9n`|=NO-`HT>}lnc$?;Y-@kC^4`Y2|Nv z&2Tq+_bg{mE5H52hui)avz$Gx{LSAr+zt<$eMZy)ZK51!@hY2|Nu<#4xp>nvwaE5Fme!|n9rS09?lupYQPb>dhZyN5l_nYPHY2|Nw#c;QK!z^b{E5GaB!|nS0Su-ImkxK&V`n*gTKPS88*Yzp%yRa$^1pk`aQC|NEN4$Ef3J&&yZ6zv zoIS1lo_8E>&#%pL_O$Z9chqou-F}v{ru<%UpU+YZZpf-)5<^K!r>nH{8`SP zR({`|hTHdZvz$Gx{DYo1+=Fj9%h}V)Klp;-9&*?$XHP5t&>e?+==rmpJ+1s7JZHFv z?J&#P)5<^Wyy5=v&{@u&R{r7J5BKm-&2sj%^8e$R!#(1rvz$Gx{3AXw+eJZHIfz*|VHIt^6N7X}HJU zV3xC|m4EC}lnn{FvdMvgs^m zPb>eF(}#QNqh>jKTKT7KGThTno8|0j<)8k@;o5)issI1~m9wXnKjgi`J>wCxoIS1l zGp-r#neU$E>}lnn_3+`I^@~}~o>uKwDQlsYPjc|I?LJ9%0Ks^!#($> zvz$Gx{9$h&?(hfCa`v?HhhI6|^WHkk+0)AZ@xH_T@sDRYds_MDzh$@=JYbfyr0Yy(J+1spzdPJfubt)WY2{zG=Ws9k&Maq7D}VHf!@c}ovz$Gx{L3#N z?wD84a`v?Huh?U_SA2Vxv!|6m_JrYHd5>Ano>u;q-x}^!$IWu~wDNy?_u>BZo3orf zt^9GX8t(YJ&2sj%^2c8~+zH3da`v?Hf419jfA)=8&Yo8O)yE9?nmf;O_O$Y^xp=q} zkDlf1Y32X?j>G-=*Je3;TKU%=HQY(JpXKan}loS_@&|Abi^!YPb>fCU50z}7iKwoTKSV-INT|>ndR(hd+-jDyre?(}(-mqh>jKTKT`}lmcx9@PD`|&JiPb>fVw+wgT17bB%70;>;lA+0SwX>W(t^C*a9PVr1ndR(h<-dO7a2MZemb0gozxeXu z{_)kboIS1lH})9r8{eMg>}lmMIbpa4^t>OOnakHE~t^7CdKHN9IIm_A8 z%Ky`=hWpmtW;uIW`EOl1+&>>X%h}V)e|xv#zWt3^&Yo8OvSWt3{LZtSJ+1uZ7Z3L@ zN6&KhwDRA%<8a^k+AL>JEB~)Y4foyK&vN#(^54B^xbGb~%h}V)e}C8EzWd}+9UJ7Sizrbvu%h}V)|KxeYU3tq{&Yo8O$_s}3KZnh7_O$Xp-Ep{|oa`v?HS3h&OYi>Hr+0)8j^NHbpdB`kh zPYb`phV8oBVZ%AI&GkL4{B@o-+$J}k9)gddiE@5PboxGg?3%h}V)U+)RSZMoGfXHP4?qRVEr;9cj9Jc}R(|XKhudb0S==mb0go-|oG`{l+6^IeS|9 z-?(PDo4$LNv!|86*~5ps*)L`}ds_MJ-!u+6R5?Y(9>ds_M5{_b$Mf9))1Pb+_iJ%_u)cV;qSMyAQYfH)lC} zTKT)bYPjFI+bm~KEB`x}4tI}ZXE}RX`Frj*+&#ZB%h}V)?{UmqRJ7Y_IPx0&VaY2|n9 z{8`SPR{nuI4fnv$&2sj%^7}q-xCh;Gmb0gof6xWPJ@~L$&Yo8OAv+HDkn?9bds_L2 zK4-W;*kP8lr-ko#&VRn^xPQL%E93t8&(n?nZkUbkr$2Ga4R_+)KVRBh-_yb$wEuAK zpYy%V^*t@TJLjDH=S!RGds_G>Z$6y+=X`H-eNPMT&N=7)`O@b4o)+GnbI$$qrOov{ zE&S6r8P5H4zPGu)r-eV{k;A!r&i6Lg_q6ctoOAA;FKw>xY2n>D=iEPE+Fak$!n)x~3;+Cmhjag&?`^K{Y2n>D=iEPE+Fak$!nfApTixqr_0HrMyG@a~**?w>DhuJ38#-8tvnKVRBh-_ydobI!Sc zzO=c%r-gUtoOAztX>)x~3-8W3=l=Q9=K7u%{w8*wcg{KY&zCmW_q6ctoOAAxY2n>D z=iEPE+Fak$!k@g$aPFV;z0LJKExbGDocrfXo9la8cz4b@_s^F$*Y~vW?woV(pD%5$ z?`h%PIp^FzU)o&X)55!R&bfcSw7I^gg+F!2;oLvxdzDhuJ38#-8tvnKVRBh-_ydobI!SczO=c%r-gUtoOAztX>)x~3xC>n!?}OX_cqt} zwD9hnbMBunZLaTW;oUjs+&^F1T;J2eyK~ODf4;Q2zNdwE=bUr@d}(uiPYdtPIp_ZQ z(&qY}7XFNFhI9X%?`^K{Y2n>D=iEPE+Fak$!n@6I{r{`u18`kofvopa9p^QF!8JuSRD=bZcJOPlL^TKG?1Go1V9d~b7oPYdtP zIp_ZQ(&qY}7T%q6&i(VH&GkJkygTQd`{zrW>w8*wcg{KY&zCmW_q6ctoOAAx zY2iP6<#6tw^S#aWJuSRD=bZcJOPlL^T6lNPIrq<(HrMyG@a~**?w>DhuJ38#-8tvn zKVRBh-_ydobI!SczO=c%r-lFW6~noI&i6Lg_q6ctoOAAxY2n>D=iEPE+Fak$ z!nw8*wcg{KY&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!nw8*wcg{KY z&zCmW_q6ctoOAAxY2m+j(Qxjc^S#aWJuUnXb{)?BbH2B^zNdwE=bUr@d}(ui zPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJkygTQd`{zrW>w8-G|G02C_s{v>=K7u%{wF&P z=l(h0+g#t%!nx>H5Ck zIp^FzU;34C|GfR@zxn!lfB$vAbI!SczO*^_&-vcs`(O8C!?}OX_cqt}wD6m4JKSbx zuW56APYdtPx$o=#`O@b4o)+GnbI$$qrOov{ExbGDocrfXo9la8cz4b@_s^F$*Y~vW z*FS4G_s{v>=K7u%e(NoVbN`(0ZLaTW;oUjs+&^F1T;J2eyK~ODf4;Q2zNdwE=bUr@ zd}(uiPYdtPIp_ZQ(&qY}7XC)359j_l-`iZ@)55!R&bfcSw7I^gg?HzibN75{bA3+> z@6I{r{`u18`kog4rq>L2vv;p)bA3+>@6NgJ>;C!D=K7u%{^su*&i!+~x4FKjg?Hzi zbN_s4bA3+>@6I{r{`u18`kofvopa9p^QF!8JuSRD=bZcJOPlL^T6lNPIrq<(HrMyG z@a~**?w>DhuJ38#-8tvnKVRBh-_ydobI!SczO=c%r-gUtoOAztX>)x~3-8W3=l=Q9 z=K7u%-ko#K{qv>G^*t@TJLjDH=S!RGds=vR&N=tbmp0e;wD9hnbMBunZLaTW;oUjs z+&^F1T;J2eyK~ODf4;Q2zNdwE=bUr@d}(uiPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJk zygTQd`{zrW>w8*wcg{KY&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!n z&i!+~x4FKjg?HzibN_s4bA3+>@6I{r{`u18`koekpIwG?|D5k_uJ38#-8tvnKVRBh z-_ydobI!SczO=c%r-k2lr{UZ`=X;y$ds=vR&N=tbmp0e;wD9hnbMBunZLaTW;oUjs z+&^F1T;J2eyK~ODf4;Q2zNdwE=bUr@d}(uiPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJk zygTQd`{zrW>w8*wcg{KY&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!n z@6I{r{`u18`kofvopa9p^QF!8JuSRD=bZcJOPlL^T6lNPId{*OHrMyG@a~**?w>Dh zuJ38#-8tvnKVRBh-_ydobI!SczO=c%r-gUtoOAztX>)x~3-8W3=l=Q9=K7u%-ko#K z{qv>G^*t@TJLjDH=S!RGds=vR&N=tbmp0e;wD9hnbMBunZLaTW;oUjs+&^F1T;J2e zyK~ODf4;Q2zNdwE=bUr@d}(uiPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJkygTQd`{zrW z>w8*wcg{KY&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!n@6I{r{`u18 z`kofvopa9p^QF!8JuSRD=bZcJOPlL^T6lNPIrq<(HrMyG@a~**?w>DhuJ38#-8tvn zKVRBh-_ydobI!SczO=c%r-gUtoOAztX>)x~3-8W3=l=Q9=K7u%-ko#K{qv>G^*t@T zJLjDH=S!RGds=vR&N=tbmp0e;wD9hnbMBunZLaTW;oUjs+&^F1T;J2eyK~ODf4;Q2 zzNdwE=bUr@d}(uiPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJkygTQd`{zrW>w8*wcg{KY z&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!n@6I{r{`u18`kofvopa9p z^QF!8JuSRD=bZcJOPlL^T6lNPIrq<(HrMyG@a~**?w>DhuJ38#KXJ`)?w|9$&GkJk zygTQd`{zrW>w8*wcg{KY&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!n|D`L2bN`(0ZLaTW;oUjs+&^F1T;J2eyK~ODf4;Q2 zzNdwE=bUr@d}(uiPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJk{Kc0K=l(h0+g#t%!hd6r z;oLvxdzDhuJ38#-8tvnKVRBh-_ydobI!SczO=c% zr-lF4rNg;@&i6Lg_q6ce-fcMd&-vcw`kofvopa9p^QF!8JuUp@7Z2zDIp5n{-_ydo zbI!SczO=c%r-gUtoOAztX>)x~3;*4VhI9X%?`^K{Y2m-W>u~O$^S#aWJuSRD=bZcJ zOPlL^T6lNPIrq<(HrMyG@a~**?w>DhuJ38#-8tvnKVRBh-_yeX=)&RLKj(X!>w8*w zcg{KY&zCmW_q6ctoOAAxY2n>D=iEPE+Fak$!n=K7u%-ko#K{qv>G^*t@TJLjDH=S!RGd%C{w zcg~yJ!Ts~4UupmIcI|&o3-8W3=l=Q9=K7u%-ko#K{qv>G^*t^8rrQqZ{yE>w8-Gt+pJ_{d2yzxxS}`cjugQ|9ok4eNPMT&N=7)`O@b4o)+GnbI$$qrOov{ExbGD zocrfXo9la8cz4b@_s^F$*Y~vWH{N78_s{v>=K7u%-ko#K{qv>G^*t@TJLjDH=S!RG zds=vR&N=tbmp0e;wD9hnbMBunZLaTW;oUjs+&^F1T;J2e-~6iK+&|}go9la8cz4b@ z_s^F$*Y~vW?woV(pD%5$?`h%PIp^FzU)o&X)570s-{IUp=X;y$ds_IN-ZGr~=X`H- zeNPL2>mLnwo0HeHxxS}`-+7DhuJ38# zZ@2ew?w|9$&GkJk{I0JX&i!+~x4FKjh5zmE4(I+k-`iZ@)55!R&bfcSw7I^gg?Hzi zbN_s4bA3+>@6I{r{`u18`kofvopa9p^QF!8JuUohCk*HQIp5n{-_ye1^;^Taf6n(d z*Y~vW?woV(pD%5$?`h%PIp^FzU)o&X)55!R&fVkKHEpi%Y2n>D=iNVF+Fak$!tZg+ zaPFV;z0LJKE&T6ZJe>RId~b7oPYdtPIp_ZQ(&qY}7T%q6&i(VH&GkJkygTQd`{zrW z>w8*wcg{KY&zCmW_q6ctoOAAxY2oktrQzH^=X;y$ds=vR&N=tbmp0e;wD9hn zbMBunZLaTW;oUjs+&^F1T;J2eyK~ODf4;Q2zNdwE=bUr@d}(uiPYeH`3x;$5obPR} z?`h%PIp^FzU)o&X)55!R&bfcSw7I^g>-&EH{AU-9`{(IZM~?gFY1`NP@4xpu=bZcJ zDfh%JH{2<${6YH<=k7VLoIS0)JLjDH=P74TEC1xphjaIwSI(YR-ko#K{qvNwr}ll>d+2cPp7YAt)5^PZ&bfb{a`v?H?woV( zpQoHXt^D)%9nRfzUO9VOd3Vk^_s>(#o>tzSbI$$ql(VOmKVqNZ+&$-&v!|7J=bUr@ zJmu_Z<=r{w+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwr}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$ql(VOmcjugQ|2*aFY31EH=iEO}IeS|9 zyoV(||a`v?H?woV(pQoHXt-L$uocre~XHP5d&N=7) zdCJ+-%DZ#UxqqH=_O$ZuoOAA}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$q zl(VOm|Kv5pxqHqlXHP5d&N=7)dCJ+-%DZ#UxqqH=_O$Y!zG^u4&w1tSY31EH=iEO} zIeS`pcg{KY&r{BxR{pbB4(IMUube%tygTQd`{yZVPb=@vIp_X)%GuM(yK~ODf1Yyo zwDRtpbMBv~oIS0)JLjDH=P74TEC1yyhI99vSI(YR-ko#K{qvNwr}loQIp^FzPdR&9d3Vk^_s>(#o>u;!FCEU^b6z=nTKUU% z8_wNxUO9VOd3Vk^_s>(#o>tzSbI$$ql(VOmcjugQ|2*aFY31EH=iEO}IeS|9?_D&U zyXU-e_O$Xp*mXE}&w1tSY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@ zJmu_Z<^SWt;oLpvm9wXn|H)3nxqHqlXHP5d&N=7)dCJ+-%DZ#UxqqH=_O$ZuoOAA< zr<^^lygTQd`{yZVPb>fP^M-TxoLA1CR{rYkhjaIwSI(YR-ko#K{qvNwru+&@n_ds_MHerz~*&w1tSY2`QDcDT*Xp5^Rm<=r{= zeceA#IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z<*$F%aPFS-%GuM(Z@uMk z?w<3?+0)9qbI!Sco^tlI^6s2-?w_ZeJ*~Vu=bZcJDQ8bB@6I{r{&~vT)5_oI^x@n+ z=asXkm3QZybN@W$>}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$ql(VOmcjugQ|2*aF zY2|PJuHoE0=asXkm3QZybN@W$>}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$ql(VOm zcjugQ|2*aFY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z<=r{w z+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwr}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$ql(VOm zcjugQ|2*aFY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z<=r{w z+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwrtzSbI$$q zl(VOmcjugQ|2*aFY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z z<=r{w+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwr}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$q zl(VOmcjugQ|2*aFY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z z<=r{w+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwr}loQIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$q zl(VOmcjugQ|2*aFY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z z<=r{w+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwr}loQ zIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$ql(VOmcjugQ|2*aFY31EH=iEO}IeS`pcg{KY z&r{BxR^FX+&i(V0v!|7J=bU@T`Lmoot-L$uy!+=VXHP5d&N=7)dCJ+-%DZ#UxqqH= z_O$ZuoOAAtzSbI$$ql(VOmcjugQ|2*aFY31EH=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|86 z;L73LJ?E9Pr}ln{bj5J)p7YAt)5^PZ&bfb{a`v?H?woV(pQoHXt-L$uocre~XHP5d&N=7) zdCJ+-%DZ#UxqqH=_O$XBUp}0>=e%oxudFAYB<=r{w+&@n_ds=yS&N=tb zQ_h}N-ko#K{qvNwr}loQIp^FzPdR&9d3Vk^_s>(#o>u<57Y*m`Ij@{Ot^D_Q9nRfz zUO9VOd3Vk^_s>(#o>tzSbI$$ql(VOmcjugQ|2*aFY31EH=iEO}IeS|9A6+<{yXU-e z_O$ZuoOAA(#o>qR-ZHIIBoLA1CR^FX+&i(V0v!|7J=bUr@Jmu_Z z<=r{w+&@n_ds=yS&N=tbQ_h}N-ko#K{qvNwr}loQ zIp^FzPdR&9d3Vk^_s>(#o>tzSbI$$ql(VOmcjugQ|2*aFY2|Oc$#CwT^UB%N%DZ#U zxqqH=_O$ZuoOAAqS6eTH-Q-1k?`o>tzSbI$$ql(VOmcjugQ|2*aFY2|OX_i*l> z^UB%N%J2HR;oLpvm9wXn|LyM%=k7VLoIS0)JLjDH=P74TEAP%Z=l*%h+0)9qbI!Sc zo^tlI^6s2-?w_ZeJ+1t1Ck*HAIj@{Ot^8fTHJrQWymI!m^6s2-?w_ZeJ*~Vu=bZcJ zDQ8bB@6I{r{&~vT)5^PZ&bfb{a`v?HdmJ;IyXU-e_O$Z9d+~7Yp7YAt)5^PZ&bfb{ za`v?H?woV(pQoHXt-L$uocre~XHP5d&N=7)dCJ+-%DZ#UxqqH=_O$Z%{nBvmp7YAt z)5^PZ&bfb{a`v?H?woV(pQoHXt-L$uocre~XHP5d&N=7)dCJ+-%DZ#UxqqH=_O$X3 zx?nhW&w1tSY31EH=iEO}IeS`pcg{KY&r{Bx7QWv<|LIZV{(1Vd+mHL_Y1_;D=bZcJ zDfh%J+plNU${)1(_o>tzSbI$$ql(VOmKkT8yxqHs{JLt{Z`PJ9geg51z z=iEO}IeS`te|OF~_s>(#o>tzSbI#rKlzRJ-8tvnKTkP(T77?a&N=tbQ_h}N-ko#K{qvOTchOg^ygTQd z`{yZdPb=@vIp_X)%GuM(AHC;r?w<4g4tn!;e)aWr|NPxK=iEO}IeS`te|OF~_s>(# zo>tzSbI$$ql=I#9I>+Amc zyK~ODf1YyowEF%hTsoY)=e%(#o>tzSbI$$ql(VOmcjugQ|2*aTUG!Be@6I{r{&~vV z)5^PZ&bfb{a`v?HC+{+xyXU-e_O$ZuoOAA(#o>tzSbI$$qlzRJ-8tvnKTkP(T77?a&N=tbQ_h}N-ko#K{qvOT zchOg^ygTQd`{yZdPb=@vIp_X)%GuM(pS}5T?w<3?+0)9qbI!Sco^tlI^6s2-?w_Ze zJ*~Vu=bZcJDcA3!uUdI`&N=tbQ{J9d-ko#K{qvNwr}ln{e8q6?p7YAt)5^PZ&bfb{a`v?H?woV(pQoHXt-L$uocre~XHP5d&N=7) zdCJ+-%DZ#UxqqH=_O$ZSdp7YAt)5>46$8heR^UB%N%DZ#UxqqH=_O$ZuoOAA< zr<^^lygTQd`{yaw@1n0-d3Vk^_s>(_o>u;!FCEU^bH3j}Z{E(YzP|3y&&zfj&fRmq z-$8HA&ab|{u6O60bN@W$>}lKA_3oT=?w_ZeJ*~Vu=bZcJDQ8bB@6I{r{&~vT)5?GE zqT$><=ldP>=I#9I>+Amhf3WLt?w<4g4tjHTe)aWry*uZe`{yZVPusq(cjugQ|2*aF zY31EH=iEO}IeS`pcg{KY&r`17MPIe@|8e1P?w<3?+tbSbWT)ZWJ?Hx!^ycmS>g((N z`MGn>xqqH={Vw{d)%SPjoOAy?(l-$h@w^4I;?aPFS-%G=Y*Z?^4lo1Hz&+0)9qbME`Pf1YyvF8ZpKcjugQ z|2*aGY31EH=iEO}IeS`pcg{KY&r`17MPIe@*FS4Gch7m{?P=w=-f}p1&w1tSY31EH z=iEO}IeS`pcg{KY&r{BxR^FX+&i(V0v!|7J=bUr@Jmu_Z(_o>tzSbI$$ql(VOmcjugQ|2*aTUG!Be@6I{r z{&~vV)5_ocUBkJ1&i6a$&D;6a*Vp~?cjugQ|2*aTUG!C}@9)kz=l*%h+tbRsbI!Sc z{(t$ndywz*v&{cXOr{tgSSOMq5sNVnI6_uNuo%I@z!VWIwvc5D7goVSi!CfA+k{~W zgQO6vV53<1mo0+)i;zMgN`w>wIcNVo<=xZf?VNM=&r{AlZQjl~Xa79qb{GB8 z=Ixww_Rmw^J#F63IcNVo<=oTe?VNM=&r@!9(I0Kz&N*lQJmuZf=Ixww_Rmw!J#F63 zIcNVo<#reS(dO-(bN0_u-aT#J&N*lQJmuWe=Ixww_RmvpchMhh-p)B^|2*a0)8_4* zbN0_u&OL43&N*lQJmq#5{n6&_oOAZiQ{Fvo-p)B^|2*Z~)8_4*bN0_uZgwIcNVo<=xZfKmN(%?4I+=xu?zBIp^%3r`+zMKid3jFCAz1oZlVvaqhm) zGsfAZIF=bW>D zo^rd3{%Cu@opa9qdCKoD`lHR;Ip^%3r@VXGyq$B-{&~vnF8ZU*+d1d#pQrrpqCeWa zopa9qdCI$|&D%NW?4PIH?xH{1yq$B-{&~vpF8ZU*+d1d#pQpTg+Ps}}&i;AI?JoMG z&D%NW?4PH+d)mC6bI$&G%DJb_+d1d#pQqgJqCeWaopa9qdCI$|&D%NW?4PHcd)oYe z`|rouKj(J`eY`vWc>d&{e>>-#{qvM_Pv`!mw{yo40e$**{M?_q2IC=bZiXlygs; zw{yDo^rd3{%G@d&N=(%Des;(Z|9t|f1YygY4di@Is4}+x4Y<% zHgD&gvwxoQ?rHOO&N=(%Dd(OxZ|9t|f1Yx?i~eZycFsBb=PB==HgD&gvwxm)?rHOO z&N=(%DYv`mk2Y`ToU?zP^6qK#cFsBb=PBo&HgD&gvwxm)yNmv4^LEZT`{ya|o;Gji zoU?zPa_(vKcFws!_{(SIb{GB8=IxyG_Rmw^J#F63IcNVo<=oTe?VNM=&r@!9(I0Kz z&N*lQJmuZf=Ixww_Rmw!J#F63IcNVo<#reS(dO-(bN0_u-aT#p|NFvmcF+0UK_BnV zKb}ANzd!AqbN0_uZgo40e$**{OY-9>-2c{}Hv z{qvM}Pn)-M&e=atIrp@AJLjDJ^OW0N^hcYwbI#d6PkHyWc{}Hv{qvM_Pn)-M&e=at zx!py7w0S${oc;5ZcTbzQbI#d6PdWFrc{}Hv{qvODUGztrw{yoBz@~$JssScL#mEJO6n8n}74|+xy>s^EkWb{O+KScjq6^pM1Za zbI$&G%DJcQ{dUed`{ya=o;LrDuO4UjoLA00ZT_1tA7}TR-yQVv?)>BVlfQpE=bZiX zlygtp`|X@__Rmw!J#F63IcNVo<=oTe?VNM=&r{AlZT{O|KF;nrubg|@yq$B-{&~vn zF8ZU*+d1d#pQpTg+Ps}}&i;AIxu?zBIp^%3r<{A*yq$B-{&~u|r_I0n#pCRr^UArW z&HvknkF$HuE9agzZ|9t|f1YygY4di@Is4}+=bje7JLmKKN9~`dgUhyoOAZiQ*L+BA8qfqbI#d6PkHyW zc{}Hv{qvM_Pn)-M&e=atIrp@AJLjDJ^OSQ>oBx65kF$Hu?+*HScmDDG$o40e$**{M?_q6#RdEaq%&-vX! zAMegToDp7QQ#^LEZT z`{ya=o;LrHcaO7s&hHNTcz6Es{K?PX&N*lQJmuWe_I^9(oc;5Zb5EPMbI#d6Pr2Pi zf3$f!=bZiXly^^?fARN^vwO}f=bkqID zo^tMK^LEZT`{yaQyXcQL|C7IPoZWL?dH1yWmw)d#yXU-e?rHNs^^N1~p7YANr_I|r z=j@-SoO{~5opa9qdCIw`&D%NW?4PIH?xH{1yq$B-{&~u~r_I0eJIC2Q=aq9$oBx@w zA7}TR-yQVv?)>BVlRtm#oOAZiQ_ekY@3(W#**{M?_q2IC=bZiXl-phON1L~E&e=at zdH1yWS6@HQ?m4fVd)oZZy?LD7bAETw$Gh{7=TCnAcFsBb=PBo&w)fjP=j@-SoO{~5 zopa9qdCKiB`lHR;Ip^%3r@VXGyq$B-{&~u|r_F!-E63SA=aq9$o40e$**{M?_q2IC z=bZiXlygs;w{yBpY@`_H>`&e=b|9rvrx&(~@3 zzxFG~**)jCl)8g%% zbN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE&jKD={URR{C3>l)8g%%bN0_~$L&2W z-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuUvXUpvn3IlmpZ z_q2FB=bZiX+i`nOi??&m*+0J>xA(MoJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&# zdryo1)XyJh_nhC3+k0BPopa9q`R%y9r^VYj=j@-~j@x@$yq$B-{`u{=y{E<7Ip^%3 z-;UdRTD+Ze&i?uBxV@*vzwxuj**)jCxA(Mo zJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&#drynEbI#d6za6*twD`}ybe!FDemidO zY4LW>Is50g}xA(MoJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&#drynEbI#d6za6*t zwD>>&-f?!%`R%y9r^VYj=j@-~j@x@$yq$B-{`u{=y{ED zemidOY4LW>Is50gl)8ha3?c?m8^V@NI zPmBM%SC6xM&Tq%l)8g%%bN0_~ z$L&2W{_o#9&h9zC9k=(i_l)8g%% zbN0_~$L&2W-p)B^|NM5`-qYg$>8r=tJ?FRM_MR61&o3Wm_nhC3+k0BPopa9q`R%y9 zr^VYj=j@-~j@x@$yq$B-{`u{=y{E<7Ip^%3-;UdRTKspue4O2LemidOY4P8E@i@EZ z{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT|MiQ< z**)jC5m&N*lQ{Pu6o z{`vg#+dO~j?{DnRIcNX;cAWilep}xEeP1}v?m535xA(O854>>P2R{Fvj@x@$yq$CJ zwSRs)ZtrRFcFsBb=eOhbo)&NCoU?y^J8ti3@pjHR`{%dg_MR61{eOC#-E)3BZtrRF zKluD{cF+0kxV@*v+d1d#pWlw#ds@7mbI$(x?YOl)8g%%bN0_~ z$L&2W-p)B^|NM5`-qYeg@<+$nJ?FRM_MR4R=bW>DemidOY4LW>Is50gDemidOY4LW>Is50gDemidOY4LW> zIs50gDemidOY4LW>Is50gxA(MoJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&#drynEbI#d6 zza6*tw0Jw`oc;6LaeGgTw{yl)8g%% zbN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuTkO zIcNX;cHG|6;_aMs_Rnv}?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgk zoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuTkOIcNX;cHG|6;_aMs_Rnv}?L95t z&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT z?VNM=&u_==JuTkOIcNX;cHG|6;_aMs_Rnv}?L95t&N=r#zxkey+k0BPopav)`R%y9 zr^VYj=j@-~j@x@$yq$B-{`u{=y{E<7Ip^%3-;UdRTD+Ze?)A^Sr{ngX7H{XAw|{;+ zZtrRFcFsBb=eOhbo)&NCocr_}@9DU`r^VYj=k1^0j@x@$yq$B-{`u{=y{E<7Ip^%3 z-;UdRTD+Ze&i?uBxV@*v+d1d#pWlw#ds@7mbI$(x?YOxA(MoJLjDJ^V@NIPm8y6&e=b|9k=(ic>Cs@-SgXVdrynE zbI#d6za6*tw0Jw`oc;6LaeGgTw{yxA(Mo zJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&#drynEbI#d6za6*tw0Jw`oc;6LaeGgT zw{yxA(MoJLjDJ^V@NIPm8y6&e=b|9k=(i z_&@vJadyx7?YOxA(MoJLjDJ^V@NIPmBM`w~n)W&Tq%l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgWeET@N=lpiu-qYg0 z_UdtV&-v}Ry{E<7Ip^%3-;UdRTD+Ze&i?uBxV@*v+d1d#pWlw#ds@7mbI$(x?YOl)8g%%bN0_~$L&2W{s*2v&h9zC9k=(icsu8u z{qx&#drynEbI#d6za6*tw0Jw`oc;6LaeGgTw{yO*?`iQr z^1kEjp8G!AaeGgTw{yxA(MoJLjDJ^V@NI zPm8y6&e=b|9k=(i_>a7MoZWMNJ8ti3@pjHR`{%dg_MR4R=bW>DemidOY4LW>Is50g zxA(MoJLjDJ^V@NIPmBM_UpUV0IlmpZ_q6zzfA2WE=lpiu-qYfL>Kn(| zJ?FRM_MR4R=bW>DemidOY4LW>Is50gl)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LD zE#A&KXaD?m+}_jTUw!>JyXX9N+}_jTf9}oW?4I-6aeGgTw{yxA(MoJLjDJ^V@NIPm8y6&e=b|9k=(i_>X_(IJ@WkcHG|6;_aMs z_Rnv}?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE&dn3 zbe!FDemidOY4LW>Is50goBxgX9cTBPSI#|c{x^U5IJ@V(a_(vKcFsBb=PBo&HgD&gvwxm) z?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkqITfcOi-E&?!_q2IC=bZiXlygs; zw{yDo^tMK^Pl?pDo^tMK^LEZT`{ya=o;Lr-A3DzNIj@|1+Ps}}&i;AIxu?zBIp^%3 zr<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&42EPj{DsI|E!#Q+Ps}}@3nuP za_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4e|d?l`;WymIbo z^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(Ox|L5O3 z&h9y{oO{~5opa9qdCIw`&D%NW?4PHcd)oY8ynCG8b6z?3w0S${oc;5Zb5EPMbI#d6 zPdWFr`M-MSIJ@V(a_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1Yyg zY4di@Is4}+=bkqI*WWtM?m4fVd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zB zIp^%3r<{A*yq$B-{&~u|r_KNE+sD~G=aq9$oBz94kF$HuE9agzZ|9t|f1YygY4di@ zIs4}+=bkoi=bW>Do^tMK^LEZT`{ya=o;LsYZyjg%oLA00ZT=r$InM4mubg|@yq$B- z{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&D%NW?4PHcd)oXzef2oI=e%<6Y4iX5@^N<0 zdF9;G=Ixww_Rmw!J#F63IcNVo<=oTe?VNM=&r{AlZQjl~_nnVEE9agz|D7)%XZPIq zQO-SW{<|+8XZM^}&OL43&N*lQJmuWe=Ixww_Rmw!J#F63IcNVo<=oTe?VNM=&r{Al zZT?@sc%0pHUOD%)`G5cLadyvn<=oTe?VNM=&r{AlZQjoLJm3BElygsu-+gn={&_lX z?`iY)%{lw$Dd(Ox|9xLL&h9y{oO{~*2VOYt1D}6Z&OL43&bjy6KTkRLw0S${oc;5Z zb5EPMbI#d6PdWFrc{}Hv{qvM_Pn-Y#KRwRwIj@|1+WZebf1KTOUOD%)c{}Hv{qvM_ zPn)-M&e=atIrp@AJLjDJ^OSQ>o40e$**{M?_q6#B{>gE6&w1tC)8_4*bN0_u&OL43 z&N*lQJmuWe=Ixww_Rmw!J#F63IcNVo<=oTe?VNM=&r{AlZT=&Fbe!FDUOD%)c{}Hv z{qvM_Pn)-M&e=atIrp@AJLjDJ^OSQ>o40e$**{M?_q2IC=bZiXlygs;w{yo40e$ z**{M?_q2IC=bZiXlygs;w{y&&i*;C zoO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zB zIp^%3r<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G z%DJb_+d1d#pQoIA+Wf~qd7RyIUOD%)c{}Hv{qvM_Pn&=3rQ__L^UArW&D%NW?4PHc zd)mC6bI$&G%DJb_f8xdC?4I+=xu?zBIp^%3r<{A*yq$B-{&~u|r_I|r=j@-SoO{~5 zopa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zBIp^%3 zr<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_ z+d1d#pQoIA+Ps}}&hB~2xu?zBIp^%3r<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9q zdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zBIp^%3r<{A* zyq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_+d1d# zpQoIA+Ps}}&i;AIxu?zBIp^%3r<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw` z&D%NW?4PHcd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zBIp^%3r<{A*yq$B- z{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&D%NWUjNLqa_(vKcFuYG=PBo&HgD&gvwxm) z?rHOO&bd#&@vNMC+Ps}}-u`*Yxu?zBIp^%3r<{A*yq$B-{&~u|r_I|r=j@-SoO{~5 zopa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zBIp^%3 zr<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_ z+d1d#pQoIA+Ps}}&i;AIxu?zBIp^%3r<{A*yq$CI5B~C5Irp@AJLkOp^OSQ>o40e$ z**{M?_q2IC=bZiXlygs;w{yo40e$**{M?_q2IC=bZiXlygs;w{yo40e$**{M? z_q2IC=bZiXlygs;w{yvdF9;G=KtZ9*^$L&3B z-p)B^|2*Z~)8_4*bN0_u&OL4Z{VyD6_ncSGJ#F63IcNVo<=oTe?VNM=&r{AlZQjl~ zXa79q+|%amoOAZiQ_ekY-p)B^|2*Z~)8>ER`Qz-K^UArW&D%NW?4PHcd)mC6bI$&G z%DJb_+d1d#pQoIA+WZgy*W+Gz|Fd%LY4di@=lSlRr<{A*{Exivc)RDka_(vKcFsBb z=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkqIk#~=?d(JE8 zo;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(Ox|Kjf-XZM^}&OL4Z z$G?5tM?d?loO{~*PrP=V-E;3(&OL43&N*lQJmuWe=Ixww_Rmw!J#GFcf8jX0=e%<6 zY4b1t-f?!%dF9;G=6~uN$JssSm2*#o40e$**{M?_q6$6{L*oD&w1tC z)8_4*bN0_u&OL43&N*lQJmuWe;&=c2|Ga+o&(rVz^w~d8=U(^EIs4}+_p8s(ujkR` zf9+R}vwO}f=bkoi=bW>Do^tMK^S}Pwadyvn<=oTe?VNM=&r@!9(I0Kz&N*lQJmuZf z=6~aT$JssSm2*#<|IJ@M&h9y{oO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%Iz-t zqs`kn=j@-SynEWbopa9qdCIw`&HvUf9cTBP-yQVv?)>BVlYjo~oOAZiQ_ekY@3(W# z**{M?_q2IC=bZiXl-phON1L~E&e=atdH1w=JLjDJ^OSQ>oB!?CjBVlRtm#oOAZiQ_ekY@3(W#**{M?_q2IC=bZiXl-phON1L~E&e=at zdH1w=JLjDJ^OSQ>oB!hv9cTBP-yQVv?)>BVlb^qxbI$&G%DJcQ{dUed`{ya=o;Gji zoU?zPa=VNEX!CZ?Is4}+@18bq=bW>Do^tMK^Pl^no40e$**{M?_q2IC=bZiX zlygs;w{yu()r_ncSGJ#F63IcNVo<=oTe?VNM=&r{Al zZQjl~Xa79q+|%amoOAZiQ_ekY-p)B^|2*Z~)8_y7?c?m8^UArW&Hvr2$JssSm2*#< zw{yopa9qdCIw` zbAQs?Ip^%3r<{A*{J*?(oc(itchJYX^N;6GzTeI{_nnVEE4RDokIwTa{dc~6oZWNZ zM|thu-E&?!_q2IC=bZiXl-phON1L~EKF@dm zJmuZf;&Dp7QQ#^LEZT`{ya=o;Gji zoU?zPa=VNEX!CZ?Is4}+@18dQkv}@l?m53Z=;Ph_$MYvYe>>-#{qvODUGzuW`|X@_ z_Rmw^J#F63IcNVo<=oTe?VNM=&r@!9(I0Kz&N*lQJmuZf=Ixww_Rmw!J#F63IcNVo z<#reS(dO-(bN0_u-aT#J&N*lQJmuWe=Ixww_RmvpchMhh-p)B^|2*a0)8_4*bN0_u z&OL43&N*lQJmq#5{n6&_oOAZiQ{Fvo-p)B^|2*Z~)8_4*bN0_uZgGsfAY_-opa9qdCKiB`lIdrcFsBb=PAFt=#Mu4i5HKvd(Q6; z`Z#yr=kff>U%#Dm&i;AI?JoMG?frJnIs4}+zq{yDo^rd3{%G@d&N=(%DZjhuk2Y`ToU?zP z^6qK#cFsBb=P9?l=#MsU=bW>Dp7QQ#^LEZT`{ya=o;GjioU?zPa=VNEX!CZ?Is4}+ z@18bq=bW>Do^tMK^LEZT`{yaQyXcQLZ|9t|f1dL0Y4di@Is4}+=bkoi=bW>Do^tMK z^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t| zf1YygY4di@Is4}+=bkoi=bW>Do^tMK^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo& zHgD&gvwxm)yNmv4^LEZT`{ya|o;GjioU?zPa_(vKcFsBb=P9?l=#MsU=bW>Dp7Ohk z{%G@d&N=(%Des;(Z|9t|f1Yx?i~eZycFsBb=PAFt=#MsU=bW>Dp7QQ#^LEZT`{yaQ zyXcQLZ|9t|f1dKYi~eZycFsBb=PB==HgD&gvwxm)yNmv4^LEZT`{ya|o;GjioO}H< z&&s){&D%NW?VqRI?xH{1yq$B-{&~u~r_I|r=RW<$vvTff^LEa8`{yaQyXcQLZ|9t| zf1dL0Y4di@Is4}+=bkoi=bW>Do^rd3{%G@d&N=(%Des;(Z|9t|f1YygY4di@Is4}+ zx4Y<%HgD&gvwxoQ?rHOO&N=(%Dd(OxZ|9t|f1Yx?i~eZycFsBb=PB==HgD&gvwxm) z?rHOO&N=(%DYv`mk2Y`ToU?zP^6qK#cFsBb=PBo&HgD&gvwxm)yNmv4^LEZT`{ya| zo;GjioU?zPa_(vKcFws!_{(SIb{GB8=IxyG_Rmw^J#F63IcNVo<=oTe?VNM=&r@!9 z(I0Kz&N*lQJmuZf=Ixww_Rmw!J#F63IcNVo<#reS(dO-(bN0_u-aT#J&N*lQJmuWe z=Ixww_RmvpchMhh-p)B^|2*a0)8_4*bN0_u&OL43&N*lQJmq#5{n6&_oOAZiQ{Fvo z-p)B^|2*Z~)8_4*bN0_uZgwIcNVo<=xZf?VNM=&r{AlZT`=` zcbwgGes|EvyYr9dPyYYccFsBb=PBo&w)fjP=j@-SoO{~5opa9qdCIw`&D%NW?4PHc zd)mC6bI$&G%DJb_f9aj$?4I+xgFfD!e>{Kk^S5)(**{M?_q4s=&N*lQJmuWe=Ixww z_Rmw!J#F63IcNVo<=oTe?VNM=&r{AlZT>6YI?nDnubg|@yq$B-{&~u|r_I|r=j@-S zoO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_zxnoYcF%d`+|%a2_UdtV&w1tC z)8_4*bN0_u&OL43&N*lQJmuWe=Ixww_RmvpchMhh-p)B^|2*a0)8@ba)^T>vdF9;G z=KtZ9VGbI$&G%DJcQ{cpc{oZWMNchJYX^N;6GzTeI{Xa79q z+|%}cJLjDJ^OSQ>oBzgFkF$HuE9agz|IL?=vwP0(4*Ga^{_*_D-@l!6&i;AIxu@;@ zcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(Ox|LrdyXZM^}&OL43&N*lQJmq#5{n6&_ zoOAZiQ{Fvo-p)B^|2*Z~)8_4*bN0_u&OL43&N*lQJmuWe=HLC|adyvn<=oTe|Lw!a z**)i#b5EPMbI#d6PdWFrc{}Hv{qvM_PmAB3^LhTG_RrICXBYj^=Ixww_Rmw^J#F63 zIcNVo<=oTe-~YmKcF+0UK_BnVKb}AJ^JjO?Is4}+x4Y<%w)fjP=j@-SynEWbopa9q zdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_|G@Ld**)iX2YtLd|9Jl7=Wpknvwxm)?rD3! zopa9qdCIw`&Hu;ejl9@BQF^c~;In zZT?5zcbwgG-)DEw$Gh{7=TE-h&N*lQJmuWe_I^9(oc;5Zb5EPMbI#d6Pr2Pif3$f! z=bZiXly^^?w{y>-#{qvM_ zPuu(LoOAZiQ_ekY-p)B^|2*Y(7yZ%Z?VNM=&r{w#ZQjl~Xa79q+|%Yi{*~kGp7YAN zr_I|r=j@-SoO{~5opa9qdCIw`&D%NW?4PIH?xH{1yq$B-{&~u~r_I|r=j@-SoO{~* zFMjDbyXU-e?rHOO&N=(%Dd(OxZ|9t|f1YygY4N*%{+(CO{`u|K&i?s#(pR4R@9(>F z&e=b|9rvrx&(~@3zxFG~**)jCl)8hZfe?HFsIlmpZ_q2FB=bZiX+i`nOi??&m*+0J>xA(O8-}xA(MoJLjDJ^V@NIPm8y6&e=b| z9k=(i_}_l*IJ@WkcHG|6;_aMs_Rnv}?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^ z|NM5`-qYgkoOAZiZ^!LDE&fwKf1KTOemidOY4LW>Is50gl)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m z+}_jTKl{>gcF+0kxV@*v+d1d#pWlw#ds@7mbI$(x?YO=s3IQ{C3>l)8g%%bN0_~$L&2W z-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuUuoKXjbkbACH+ z?`iRN&N=(%x8wGn7H{XAvwwa&ZtrRFcFsBb=eOhbo)&NCoU?y^J8ti3@pjHR`{%dg z_MR61`R9(ad(Lmi?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZi zZ^!LDE#A&KXaD?m+}_jT|NMK$**)jCp!1bACH+?`iRN&N=(%x8wGn z7H{XAvwwa&ZtrRFcFsBb=eOhbo)&NCoU?y^J8ti3@pjHR`{%dg_MR61*WWtM?m535 zxA(MoJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&#drynEbI#d6za6*tw0Jw`oc;6L zaeGgT|J%2ZvwO~O$L&2W{_kEr&h9zC9k=(icsu8u{qx&#drynEbI#d6za6*tw0Jw` zoc;6LaeGgTw{yIs50g^3rj3&-v}Ry{E<7Ip^%3-;UdRTKspu ze4O2LemidOY4P8E@i@EZ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LD zE#A&KXaD?m+}_jT|MiQ<**)jC5m&N*lQ{Pt^S|9t-WZJs~%_cwOuoU?y^JI?+&zb)_ozAqeS_nhC3+k0C4 z2VOYt1D}6S$L&2W-p;xA+CRS?xA(MoJLjDJ^V@NIPm8y6&e=b|9k=(icsu8u{qx&# zdryo1{y#m=?m535xA(O8AAJ5eyXX9N+}_jT?VNM=&u_==JuTkOIcNX;cHG|6;_aMs zKm5Pn({X!Gi??&m+dsb@xA(O85B|wl)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m z+}_jT?VNM=&u_==JuTkOIcNX;cHG|6;_aMs_Rnv}?L95t&N*lQ{C3>l)8g%%bN0_~ z$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuTkOIcNX; zcHG|6;_aJrcF%9e?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZi zZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuTkOIcNX;cHG|6;_aMs_Rnv}?L95t&N*lQ z{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE&k)5JkIVpza6*tw0Jw` zoc;6LaeGgTf9<8??4I-6aeGgTw{yDemidO zY4LW>Is50gDemidOY4LW>Is50gDemidOY4LW>Is50gDemidOY4LW>Is50g zDemidOY4LW>Is50gDemidOY4LW>Is50gDemidOY4LW>Is50gl z)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_== zJuTkOIcNX;cHG|6;_aMs_Rnv}?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5` z-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jT?VNM=&u_==JuTkOIcNX;cHG|6;_aMs_Rnv} z?L95t&N*lQ{C3>l)8g%%bN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m z+}_jT?VNM=&u_==JuUvvzIUA6bACH+?`iRN&N=(%x8wGn7H{XAvwwa&ZtrRFcFsBb z=eOhbo)&NCoU?y^J8ti3@pjHR`{%dg_MR61rFV|Ad(Lmi?L95t&N*lQ{C3>l)8g%% zbN0_~$L&2W-p)B^|NM5`-qYgkoOAZiZ^!LDE#A&KXaD?m+}_jTzw)i)?4I-6aeGgT zw{yxA(MoJLjDJ^V@NIPm8y6&e=b|9k=(i z_&47^&h9zC9k=(i_^-WsoZWMNJ8ti3@pjHR`{%dg_MR4R=bW>DemidOY4LW>Is50g zD zemidOY4PuV@i@EZ{C3>l)8hZ_!^hb@=eOhbo)&NCoU?y^J8ti3@pjHR`{%dg_MSfN zcjtWEkJ>-K{n~u}q4_#3-p)B^|NM5`-qYgkoOAZiZ^!LDE&ly49B22O-;UdRTD+Ze z&i?uBxV@*v+d1d#pWlw#ds@7mbI$(x?YOxA(O87k~dayXX9N+}_jTfBf6Wee|>M>A1b8 z#s9=>$Jssi{_VKEr^VYj=j@-~j@x@$yq$B-{`u{=y{EyXX9N+}_jTf9C7Q**)jC&MwW=eOhbo)-UeZysm&oZpVyds@7m zbI$(x?YODemidOY4LW>Is50gEu zx#R4f^UArW&D%NW?4PHcd)mC6bI$&G%DJb_|Hk`{vwO}f=bkqIo4pO3S9&MW7hHgD&gvwxm)?rHOO&N=(%Dd(Ox|69Lw zoZWL?Irp@AJLjDJ^OSQ>o40e$**{M?_q2IC=bZiXlygs;w{yo40e$**{M?_q2IC=bZiX zlygs;w{y(s6drdF9;G=Ixww_Rmw!J#F63 zIcNVo<=oTe?VNM=&r{AlZQjl~Xa79q+|%amoOAZiQ_ekY{ttibIJ@V(a_(vKcFsBb z=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkqI#~(V*?m4fV zd)mC6bI$&G%DJb_+d1d#pQoIA+Ps}}&i;AIxu?zBIp^%3r<{A*yq$B-{&~u|r_F!v zhmNy*&MW7hHgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkoi=bW>D zo^tMK^Phk2IJ@V(a_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1Yyg zY4di@Is4}+=bkqI=ifWd?m4fVd)mC6bI$&G%DJb_+d1d#pQoIA+WcRDo^tMK^LEZT`{ya=o;Ls2-#X6jIj@|1+Ps}}&i;AIxu?zBIp^%3 zr<{A*yq$B-{&~u|r_I|r=j@-SoO{~5opa9qdCIw`&HwG&$JssSm2*#<|GQU@vwO}f z=bkoi=bW>Do^tMK^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&Hvji;9cTBPSI#|c z{vTgC&h9y{oO{~5opa9qdCIw`&D%NW?4PHcd)mC6bI$&G%DJb_+d1d#pQoIA+WbF# z^*FodymIbo^Z)$vadyvn<=oTe?VNM=&r{AlZQjl~Xa79q+|%amoO5>1Q_ekY-p)B^ z|2*Z~)8@bP<>Tz0^UArW&42gBD zo^tMK^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(Ox zZ|9t|f1YygY4di@Is4}+=bkoi=bW>Do^tMK^LEZT`{ya=o;GjioU?zPa_(vKcFsBb z=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkoi=bW>Do^tMK z^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t| zf1YygY4di@Is4}+=bkoi=bW>Do^tMK^B@1@adyvn<=oTe?VNM=&r{AlZT_{FjDo^tMK^LEZT z`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1Yyg zY4di@Is4}+=bkoi=bW>Do^tMK^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&g zvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkoi=bW>Do^tMK^LEZT`{ya= zo;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@ zIs4}+=bkoi=bW>Do^tMK^LEZT`{ya=o;GjioU?zPa_(vKcFsBb=PBo&HgD&gvwxm) z?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@Is4}+=bkoi=bW>Do^tMK^LEZT`{ya=o;Gji zoU?zPa_(vKcFsBb=PBo&HgD&gvwxm)?rHOO&N=(%Dd(OxZ|9tQ{WH(Xxu?zBIp^)4 zr<{A*yq$B-{&~u|r_I|r=RW<$vvTff^LEa8`{ya=o;GjioU?zPa_(vKcFsBb=PBo& zHgD&gvwxm)?rHOO&N=(%Dd(OxZ|9t|f1YygY4di@{~urXAN+k@*Y$o|aibN;h)lsB z32H_RF&o2p$gD(3#6==PA|nD6{E=B%ni0q2FpR@NG9&s$W?^RLFdW8V91oFUAz_-K zahyHGaF7^7X>-~6Ld(O=D`0GCV{LGE-ey)+%b7$pxUYz^qDQBKG@6I{r z{&~uor_H-_&bfb{a^`9C?woV(pQoI8+PpjGocre~XP!3i&N=7)dCHlm&AW5XxqqH= z=4tcpoOAA(#JZ;{c zbI$$qlrvA8f7@HObN8HA&OB}Y?RRYF?m4fVdD^@?=bZcJDQBKG|Bk!1bN8HA&OB}2 zopa9p^OQ4Bn|J4&bN@W$%+u!I`KImMJ?E7(Pn&<&gST_{oL9~~ZQh-8&i(V0Gf$g$ z=bUr@Jmt*O=G{5x+&@n_^R#()&N=tbQ_ehX{{26@oxA6}a^`9C?woV(pQoI8+PpjG zocre~XP!3i&N=7)dCHlm&AW5XxqqH==4tcpoOAA(#JZ;{cbI$$qlrvA8cjugQ z|2*Z))8^ed=iEO}IrFsnd)>aByXU-e=4tcpoOAAIO-8tvnKTkRHw0U>VIrq;~&OB}Y0Uz1U-E&?!^R#()&N=tbQ_ehX z-ko#K{qvMFPn&n=oOAy?<;>IO-8tvnKTkRHwD|{q-*)bv^U9g0%|Gb<+kMVUZIO|KK~fbN8HA&OB}2opa9p^OQ4Bn|J4&bN@W$%+u!GIp^FzPdW3nd3Vk^_s>(# zJZ=7t7j5V6Ij@{~+Wa4W+jj1r^U9g0&AW5XxqqH==4tcpoOAAIO-8tvnKTkRHw0U>VIrq;~&OB}YQE%MN-E&?!^R#()&N=tbQ_ehX z-ko#K{qvMFPn&n=oOAy?<;>IO-8tvnKTkRHw0U>VIrq;~&OB}Yv2WPU-E&?!^R#() z&N=tbQ_ehX-ko#K{qvMFPm7=X=kI;NzJH#+@8SFYdAjEH{yFFVdCEQG_VxF3wfSd0 zbvt*@dF9O0=G{5x+&@n_^R)SA-L{>(=e%;}Y4h%!bMBv~+}uUK+PpjGocre~Z=N>) z4YzLR?m4fVdD{FpK5095&w1s{)8^ed=iEO}IrFr6cg{KY&r{AkZQh-8&fW8ro4e>& zn|J4&bN@W$&C}-HIp^FzPdW3n`EPmLcJ7|@a|eBSbN>4LrvLqO=bUr@Jmt*O_Ve92 z=iEO}IrFr6cg{KY&r@#hqF-&^opa9p^OQGFn|J4&bN@W$%+uzd^XTo|J?G~R`ts)d z_4!SI{oOg|+&@n_^R)eZcg{KY&r{AkZQh-8&i(V0o4e>&n|J4&bN@W$&C}-HIp^Fz zPdW3n`R9GncJ7|@a|eBSbN>4LroaB~oOAA+{c7{>oOAA~w0U>VIrq;~&OB}2opa9p^OQ4Bn|J4&bN@W$<}Uix=G{5x+&@ow^R#() z&N=tbQ_ehX{s%sDJ9p3dxr4sEIe&eA)Az@nbI$$qlrvA;&v)mXbN@W$%+u!GIp^Fz zPr12^ezkdb&N=tbQ{Fsn-ko#K{qvMFPn-XdPub4hbAIliFK^CYpWpP?-<@;L{qvMF zPutIT=bUr@Jmt*O=G{5x+&@pbxr=_ad3Vk^_s>(_JZ;{cbI$$qlrvA8|Izzw=k7T_ zchHwN=daIi`s?q`Ip_X)%9*F_=eu*xxqqH==4tcpoOAA&q?xJ69 z-ko#K{qvMJPn&n=oOAy?<;>IOfAZtoxqHqlXP!3i&N=7)dCHlm&AW5XxqqH==4tak z^^xt|J?G~R`ts)d_4!Tz`|Hj*=l*%hnWydNyK~ODf1YyYY4bn*;qBZ#=an;0n|J4& zbN@W$%+u!GIp^FzPdW3nd3Vk^_s>(#JZ;{cbI$$qlrvA8cjugQ|2*Z))8>Ev-P^f) z&MRl0Ht)_k=l*%hnWxRWbI!Sco^s}C^X{B;?w_ZedD^@?=bZcJDQBKG@6I{r{&~uo zr_KN0@7T`Wb6z>~wE6%3$nD%c=an;0n|J4&bN@W$%+u!GIp^FzPdW3nd3Vk^_s>&q z?xJ69-ko#K{qvMJPn-Xxw`}L`IX`#MmpA9H&u{vE{*ODhbN8H|JLt=q^VjD$_3oT= z?w_ZedAjB|_3oT=?w_ZedD^@?=bZcJDQBKG@6I{r{&~uor_KM$o3?ZJoS!@B%bWAp z=QsWSzxv?q+&$;#4*GKD{Pp=wy*uZe`{yZVp04>#y*uZe`{yZVo;L5!Ip_X)%9*Fl zyK~ODf1Yx47yWAUANbkr+&$-&H&2`Y&;z$~_negQ(bN@W$<}Uix z_Ve92=iEO}dGoY+cg{KY&r{AkZQh-8&i(V0o4e>&oB!zRwsZHKSKd5r{x|QxoxA6} za^`9C?woV(pQqg1MZem-JLl{3xqqJW=4tVB=bUr@JY8+{c7{>oOAAoH>)#lwf=iEO}dGoY+cg{KY&r{Ak zZQh-8&i(V0o4e>&n|J4&bN@W$&C}-HIp^FzPdW3nd3Vk^_s>&q?xJ69-ko#K{qvMJ zPn&n=oOAy?<;>IO-8tvnKTo;2i+;6vcg{KY&r{w!ZQh-8&i(V0Gf$g$=bUr@Jmuyt z`qk#$Ip^FzPkHmSd3Vk^_s>(#JZ;{cbI$$ql$*QgSDSa|oOAy?<;~OP-8tvnKTkRH zw0U>VIrq;~ZtkLAZQh-8&i(V0H&2^)=bUr@Jmt*O=G{5x+&@pbxr=_ad3Vk^_s>(_ zJZ=6_&)v@5b6z>~w0U>VIrq;~ZtkLAZT``px1GD^{M6+ivyK~ODf1YyYY4h%!bMBv~+}uUK+PpjGocre~Z=N>q&N=7)dCHlm z&AW5XxqqH=a~J(;^X{B;?w_Z;dD^@?=bZcJDQBKG@6I{r{&~uor_H-_&bfb{a^`9C z?woV(pQoI8+PpjGocre~XP!3i&N=7)dCHlm&AW5XxqqH==4tcpoOAAVIrq;~ZtkLAZQh-8&i(V0H&2^)=bU@~_uMFF zo;L5!Iq&{?%FSK$tIfM}&bfb{^5$vt?woTkc;St5=4tcpob&FVr`+5{zuLSz=bZcJ zDQ})O@6I{r{&~uor_H-_&bfb{a&s5`YV+=#bMBv~ym{KZJLjDH=P75NHt)_k=l*%h z&0X}X&AW5XxqqJW=4tcpoOAA+{c7{>oOAA< zr@VREygTQd`{yZVo;L5!Irp;H-zYbC(XTe|&N=V?dCHro&AW5XxqqH==4tcpoOAA< zr`+5{zuLSz=bZcJDQ})O@6I{r{&~uor_H-_&bfb{a&s5`YV+=#bMBv~ym{KZJLjDH z=P75NHt)_k=l*%h&0X}X&AW5XxqqJW=4tcpoOAA+{c7{>oOAA(# zJZ;{cbI$$qlrvA8cjugQ|2*Z))8^ms;qBZ#=jRUk^5*>Y`AvWQ-8tvnKTkRHwEcW{ z&N=tbQ_ehX-ko#K{qvMFPn&n=oOAy?<;>IO-8tvnKTkRHwD~u_dpmc}dF9O0=G{5x z+&@n_^R#()&N=tbQ_ehX-ko#K{qvMFPn&n=oOAy?<;>IO-8tvnKTkRHwE4TCboPoOAy?<;>Ie^W8b;+&@n_^R)SQzG*vm&w1s{)8^mx;O*Q!=jRUk z^5*>Y`AxsSJLjDH=P75Nwx93LIp_X)%9*FlyK~ODf1YyYY4h%!bMBv~oO#;(`+s&j zch7m{%+u!GIp^FzPr12^ezkdb&N=tbQ{Fsn-ko#K{qvMFPn&n=oOAy?<;>IO-8tvn zKTkRHwE2&`Zaa6+dF9O0=0A4-?c6=*l`~J9cjugQ|2*Z))8^ed=iEO}IrFsmxpTfg z|8)1y)8+PE^sCLgbI!Scp7Q2t^X{B;?w_ZedD{Fv?z^44=ltA3U*4R*KEK)fGk4B8 z_s>&q?xJ69Ki{2m&i(V0H&2^)=bUr@Jmt*O=G{5x+&@n_^R#()&N=tbQ_ehX{$96l z=k7T_chHwN=daIi`s?q`Ip_X)%9*F_=eu*xxqqH==4tcpoOAA(#JZ;{c zbI$$ql$*QgSDSa|oOAy?<;~OP-8tvnKTkRHwD|{oWIK1y`MHC>yg7e;e$!uncg{KY z&r{AkZ9m_gbI$$qlrvA8cjugQ|2*a9F8bBx-8tvnKTmn{wD|{q-*)bv^U9g0%|Gb< z+kMVUZ(_JZ=7t7j5V6Ij@{~+Wa4W+jj1r^K%D%d2{~y{HE`ZJLjDH=P75N zwx93LIp_X)%9*FlyK~ODf1Yx47yWAU?woV(pQpTe+WaG*zn#11ymIDg^MB;7?c6=* z=MMVv=KS^fO@IB}Ip^FzPdW3n{d{-MIrq;~&OB}2opa9p^OT#r=vSL}=bUr@Jmt;P z=G{5x+&@n_^R)R#y>UBt&w1s{)8^ed=iEO}IrFr6cg{KY&r{AkZQh-8&i(V0o4e>& zn|J4&bN@W$&C}-HIp^FzPdW3n`NzItJ9p1{<;>IO-8tvnKTkRHw0U>VIrq;~&O9xC z?w`Ns5&Qo6>09^x^Y^5Wxat4?eD0ic?w_A7_l(=uU#G=C^Qqgpd(Kann|WHiJLjDH z=cmifJT3lNw{7R{IX_))=4tWnoOAA2fnqi+AUobN~EwxtXWMf6L>xbN8H|E;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT2ax zbI$$q)8%HK7VpkE=l=QWax+hhcjugQ|NL~hnWx1+=h54_d(Kann|WHiJLjDH=cmif zJT2axbI$$q)8%HK7VpkE=l=QWax+hhcjugQ|NL~hnWx3ObI!Sce!ASu)8e1^MccW1 z&QF({d0M2fnqi+AUobN~EwxtXWMyK~ODe}1~$%+umuecN{K zp7Yb?W}X)B&N=7)`RQ^qPm6cwoOA#Dbh(+Q#k+IPxqp7T+|1MB-8tvnKR;b==4tWn zoOAA2fnqi+AUobN~EwxtXWM|I|mebN8H| zE;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT3mGKfIm0=lpcJnWx3ObI!Sce!ASu)8gGZ z=iEO(U2f)S@$Q^+?w_A7H}kZ3cg{KY&rg?|d0Mt4bN`&5mY@F# zui4JsbAGzq%+umO@xI%A;;Zl0;C!aax+hhcjugQ|NL~hnWx3ObI!Sc ze!ASu)8gGZ=iEO(U2f)S@t^dn?c6=*r_0SeE&krOZ|CkgKV5F-Y4PrybMBv?E;sYE zcz4b@_s>t4n|WHiJLjDH=cmifJT2axbI$$q)8%HK7XQ0nzMZ@0{B*gQr^UN-&bfbn zy4=ju;@vst+&@2EZsuw6?woV(pPw!_^R#$(&N=tbPnVl{TD&{wocrge%gsD3{sBL@ zoxA7!bh(+Q#k+IPxqp7T+|1MB-8tvnKR;b==4tWnoOAA2fnqi+AUobN~EwxtXWMyK~ODe}1~$ z%+uoCIp^FzKV5F-Y4PrybMBv?E;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT2axbI$$q z)8%HK7VpkE=l=QWax+hhcjugQ|NL~hnWx3ObI!Sce!ASu)8gGZ=iEO(U2f)S@$Q^+ z?w_A7H}kZ3cg{KY&rg?|d0M2fnqi+AUobN~EwxtXWMyK~ODe}1~$ z%+uoCIp^FzKV5F-Y4PrybMBv?E;sYE_^2fnqi+AUobN~EwxtXWMyK~ODe}1~$%+uoCIp^Fz zKV5F-Y4PrybMBv?E;sYEcz4b@_s>t4n|WHiJLlZDe(-KxZsuw6?ws@PpPw!_^R#$( z&N=tbPnVl{TD&{wocrge%gsD3-ko#K{qxi1W}X)B&N=7)`RQ^qPm6cwoOA#Dbh(+Q z#k+IPxqp7T+|1MB-8tvnKR;b==4tWnoOAA2fnqi+AUobN~EwxtXWMyK~ODe}1~$%+uoCIp^FzKV5F-Y4PrybMBv? zE;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT2axbM9rYzgw4^d0M2fnqi+AUobN~EwxtXWMyK~OD ze}1~$%+uoCIp^FzKV5F-Y4PrybMBv?E;sYE_}6}XJ9p3d>2fnqi+AUobN~EwxtXWM zyK~ODe}1~$%+uoCIp^FzKV5F-Y4PrybMBv?E;sYEcz4b@_s>t4n|WIN8$P_9yXX9L zxtXWMyK~ODe}1~$%+uoCIp^FzKV5F-Y4PrybMBv?E;sYEcz4b@_s>t4n|WHiJLjDH z=cmifJT3l>@7~VcbAGzq%+uoCIp^FzKV5F-Y4PrybMBv?E;sYEcz4b@_s>t4n|WHi zJLjDH=cmifJT2axbI$$q)8%HK7Jt_}wsZHKpDs7^wD>naayxg=`RQ^qPm6cwoOA#D zbh(+Q#k+IPxqp7T+|1MB-8tvnKR;b==4tWnoOAAt4 zn|WINJKwaOyXX9LxtXWMzw5!2fnqi+AUobN~EwxtXWMzyD{qbN8H|E;sYEcz4b@_s>t4n|WHiJLjDH=cmif zJT2axbI$$q)8%HK7VpkE=l=QWax+hhcjugQ|NL~hnWx2nCOGzIbZJ6-9JBl>-y_YS$~}t@6I{r z{`u*0Gf#_m=bUr@{B*gQr^VmnzT3Hb&QF({d0Mt4n|WHiJLjDH=cmifJT3ly zw{GX|IX_))=4tWnoOAA2fnqi+{jJwsZHKpDs7^w0L*UIrq;`mz#N7ygTQd`{$?2%{(pMopa9p z^V8*Ko)+)UIp_ZQ>2fnqi+|wvZRhSeKV5F-Y4Hzw|8}4A(z|uJnWx2n?xVMJ_uS8) zE;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT3kYeDQYfp7Yb?W}X)R;FoOY?m0hQZsuw6 zfAF2#xqHq}mz#N7ygTQd`{$?2%{(pMopa9p^V8*Ko)+)UIp_ZQ>2fnqi+AUobN~Ew zxtXWM-|?dD+&$-~%gsD3{tv%xJ9p3d>2fnqi+AUobN~EwxtXWMyK~ODe}1~$%+uoC zIp^FzKV5F-Y4PrybMBv?E;sYE_(wi}J9p3d>2fnqi~l2cZRhSeKV5F-Y4PrybMBv? zE;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT2axbI$$q)8%HK7VpkE=l=QWax+hhf7Bbd zbN8H|E;sYEcz4b@_s>t4n|WHiJLjDH=cmifJT2axbI$$q)8%HK7VpkE=l=QWax+hh zcjugQ|NL~hnWx1+_6^&)d(Kann|WHiJLjDH=cmifJT2axbI$$q)8%HK{(t?WZn^C~ zx88EUTORVspK#0lpSIrZcmI>+*B(xP^Ywe4uKC@6|NHh28UKJUd)h63=wp9s{r-*H z@79m5-@jp={;8Y$$E@$ofBE_zr_0SeE&j3V-Qpg*-l5awW}X)R73-fnxI5QBN1QG< z^R)QK-Ll=|e)w)(Zsuw6U-|6q9)I7vb-9_R#Xo-i_txL{pIZMtJY8<)Y4J~3dzX8{ z+M}n-%{(psPk;Y*PyF(`b-9_R#s8UmZue(idABY%^R)OUee-r-b^p6{xtXWMf7RMM zzW0;Y9ywiZ=4tVN_K$D(XWx0ZE;sYE_^15Pc7N^(ck6O9PmA~aHvE12{T8Ro%{(ps z&#!lvd+K^ePnVl{TKrSry4_!R!QHyt%+unZ_GQ~W?frM_ax+hh|BJ8K?&(jtTbG-8 zTKqFUb-QQ0_HJEn=4tWI{EqFu=D~OCax+hh|C(Rk?rXpIZe4EXY4Oi`{C3a!$lbc! z%+unZ{bSpG-P7;ZIOz4M&+KjqBR z=DqWr_dn&#)8@VNocBNF%+uz*^PKlT<;>IOz4M&+KjqBR=DqWr_dn&#)8@VNocBNF z%+uz*^PKlT<;>IOz4M&+KjqBR=DqWr_dn&#)8@VNocBNF%+uz*^PKlT<;>IOz4M&+ zKjqBR=DqWr_dn&#)8@VNocBNF%+uz*^PKlT<;>IOz4M&+KjqBR=DqWr_dn&#)8@VN zocBNF%+uz*^PKlT<;>IOz4M&+KjqBR=DqWr_dn&#)8@VZzwy8A_dor}AKdSMy5{x$ z=e++ZH+$h~^WJ&R`=9c&7p^w%o#(v&DL;GRYV+QC&ikM8vlp&5@15to|0zFv;cD~V zdCvQv^0ODNHt(J1y#Fabd*N#H-g(aZpYpR8t~T$T=e++ZKYQV7^WJ&R`=9c&7p^w% zo#(v&DL;GRYV+QC&ikM8vlp&5@15to|0zFv;cD~VdCvQv^0ODNHt(J1y#Fabd*N#H z-g(aZpYpR8t~T$T=e++ZZ=N>qo#(v&DK~rJYV+QC&ikM8vlp&5@15to|0zFv;cD~V zdCvQv^5$vt-g(aZpK`Mot~T$T=e++ZKYQV7^WOho|Fr%7pZ?^2|9?IGwEq5c-v86( zy#M^Pc<(&t{Xbo9=4tWXdCvQPy4=ju;=S{n_y2UcnWx2j=Q;2H>2fnqi}%iR-v86( zW}X)Bo#(v&r_0SeE#5oNdH+wBn|WHicb@b9pDs7^w0Q44=lwrjZsuw6-g(aZf4bbv z)8f7JocI59xtXWMd*?at|LJlwPmA}?bKd{cvCU2f)S@!omP`+vIJ%+un%^PKnpbh(+Q#e3&D@Bis?Gf#{6 z&U4=X)8%HK7Vn+sy#J@m%{(pM`~TtpW556Dul>3G{-OGKW*MS&w2k- z&OB}2JI{IlQ_ehX-aF5E|5MI9ZQeW2dH+++JZ;`P&w2k-&OB}2JI{IlQ_ehX-aF5E z|5MI9ZQeW2dH+++JZ;`P&w2k-&OB}2JI{IlQ_ehX-aF5E|5MI9ZQeW2dH+++JZ;`P z&w2k-&OB}2JI{IlQ_ehX-aF5E|5MI9ZQeW2dH+++JZ;`P&w2k-&OB}2JI{IlQ_ehX z-aF5E|5MI9ZQeW2dH+++JZ;`P&w2k-&OB}2JI{IlQ_ehX-uwTd$L#n2^aK0-|4{mv z{{C~`|I_8X|NOLg?>y)IKV5F-Y4P59&ijA5+|1MBz4M&+|8%*Tr^S2cIq(1Jax+hh z_s(2fnqi}%iR-v86(W}X)Bo#(v&r_0SeE#5oN zdH+wBn|WHicb@b9pDs7^w0Q44=lwrjZsuw6-g(aZf4bbv)8f7JocI59xtXWMd*?at z|LJlwPmA}?bKd{cPX8?>y)IPx;vkSDW|FbKd`ypS^IkdG9>u z{ZIMX3s;->&U4=Xl%KtDwR!J6=lxIl*$Y>j_s(vS`PmCsoA=Ih z-v5-Jy>PX8?>y)IPx;vkSDW|FbKd`ypS^IkdG9>u{ZIMX3s;->&U4=Xl%KtDwR!J6 z=lxIl*$Y>j_s(vSdGoY+?>y)IPr2C(SDW|FbKd`ypS^IkdG9>u z{ZIMX3s;->&U4=Xls8YC_s(vS`PmCsoA>^|?|1F@|MdUd@BjPK z-__rL&ijA5ocEuf7Vn+sy#J@m%{(pMJI{IlPnVl{TD*6j^ZuVMH}kZ3?>y)IKV5F- zY4P59&ijA5+|1MBz4M&+|8%*Tr^S2cIq(1Jax+hh_s(2fnqi}%iR-v86(W}X)Bo#(v&r_0SeE#5oNdH+wBn|WHicb@b9pDs7^w0Q44 z=lwrjZsuw6-g(aZf4bbv)8f7JocI59xtXWMd;j0_ulM_(zV}b>_di|p`p^HI_dn&l z`)TvudCvQva^`9C-g(aZpK|7D^WJ&R`=4^=Y4hHB&ikKo=4tcZdCvQva^`9C-g(aZ zpK|7D^WJ&R`=4^=Y4hHB&ikKo=4tcZdCvQva^`9C-g(aZpK|7D^WJ&R`=4^=Y4hHB z&ikKo=4tcZdCvQva^`9C-g(aZpK|7D^WJ&R`=4^=Y4hHB&ikKo=4tcZdCvQva^`9C z-g(aZpK|7D^WJ&R`=4^=Y4hHB&ikKo=4tcZdCvQva^`9C-g(aZpK|8u|IdHP-G2Y@ z1HN?q{`vp;P3zCQS-<{QA9maCS$`hgn{G+X@BaR8fBpX9Kl#nKJnSC#Ui;#-#_P`u@}9W}X)RXRh!6XRhx*U2f)S z@oP`b_y4Nv`%jmfd0PBeUElx7*Y}?;H}kakCtu(H&tBhuy4=ju;-7MT|EFBvf4bbv z)8hZ!_5Hv4`u@}9W}X)R)z|m`^Vj#EE;sYE_&kax+hhf9Cc5pLu=% z>2fnqi~pMI`>#K5ZobEKxtXWMuRphL+_SFlKV5F-Y4OjxzW=kY?>}8`=4tU?cYXh_ zyT1Q)xtXWMfBp6Szv24+)8%HK7H?19`ZIffrl0VPy+6}6zv=$9C%MP0U%!+yPn)+V zIr}r^%+u!WNzVREIrFr6dy=y^Q_ehX-k#*_&6G1wo3|%9do$(C)8_3-&fZKp^R#(; zlCw8c&OB}2p5*M!lrvA8wIO?McqwOgZzkd3%zxH&f0$ZQh>b?9G%jPn)+VIeRnZ%+u!WNzUF(IrFr6 zdy=y^Q_ehX-k#*_&6G1wo3|%9do$(C)8_3-&fZKp^R#(;lCw8c&OB}2p5*M!lrvA8 zwec4$NzVREdGoY+dy=z1Q_ehX-k#*_ z&y<_JdbN3blCwWk-aKvIp5*M$l$*VJwRwAzvp-YbJZ;{db?9Y@pPn)+VIr}r^X0Kjt-k#*_&y=6NdbN3blCwWke)j6s=Iu$&{!IDVt5=)1 zCpr5wb?9Y^+y?V8Idy=z1Q{Fsn-k#*_&y<_JdbN3blCwWk-aKvIp5*M$ zlrvA8wb?9Y@pPn)+VIr}r^%+u!W z&s*-?`}6dd_Wryjy|eF6&i*`I&i>@5#oLpd{dv0F%+uoSNzVQ}U2f)S@%AKVf1WNk z^R#$-lCwWgmz#N7ygkX;pQp>sJT2ay>2fnqi?=5^`}1_UnWx3ulbro|y4=ju;_XS!{ybf7=4tWvBxiq~ zE;sYEczcqwKTnsNd0M_U9k^t@Pe? ze~w%G^G26jdvpA>__Zg;t^IkU%g;P5e(lL|Yk%J8ax+hhUwd-g+MhSN+|1MB*Pa}= z_UDZ*H}kakwI|1|{duFy%{(oB?a6U#f8OYFGf#_Odve^`pEtVP%+uo6o*cLK=Z!8m z^R)Q2C&#V*d85nCJS~3h$#H9c-so~OPm5oBa@^XVH@e)+)8f~j9Jlu8jV?FywD`3r z$F2Q&qsz@aEq?9Each6x=yEepi(h+k+}fWvy4=ju;@6%WxAy0aE;sYE__Zg;t^IkU z%gsD3e(lL|Yk%J8ax+hhUwd-g+MhSN+|1MB*Pa}=_UDZ*H}kakwI|1|{duFy%{(oB z?a6U#f8OYFGf#_Odve^`pEtVP%+uo6o*cLK=Z!8m^R)Q2C&#V*d85nCJS~3h$#H9c z-so~OPm5oBa@^XVH@e)+)8g&V-~5@qKhuvtWAD#&&D+1f9dCc~%GsM~^Y$cXf2N#y z+Ppo<*`FzAo;Gh!a`tD+nWxR$lbrpTa^`9C_9SP2rkr`&ygkX;pDAaaHg8XI_GikO zr_I}woc)<{=4tcxBxir7oO#;3J;~XhDQBKGZ%=aeXUdtU&D)cl{h4y+Y4i3ZXMd)g zdD^@^$=RPNXP!21PjdEW%9*Fl+moFAnR4c7^Y$cXf2N#y+Ppo<*`FzAo;Gh!a`tD+ znWxR$lbrpTa^`9C_9SP2rkr`&ygkX;pDAaaHg8XI_GikOr_I}woc)<{=4tcxBxir7 zoO#;3J;~XhDQBKGZ%=aeXUdtU&D)7+Ppo<*`FzAo;Gh!a`tD+&0f9Q zygkX;pDAyiHg8XI_Gik?UcK79J;~XhDQ})OZ%=aeXUdtU&D)cl{h4yJSFbj2PjdEW z%A2Rn+moFAnR2sNuQqQ_a`tD+&tARSygkX;pD90k^=k9>Bxir7{Or}M&D)cl{h9K! zSFbj2PjdEW%FkZC+Ppo<*`FzIo;Gh!a`tD+&0f9QygkX;pDAyiHg8XI_GikOr_I}w zoc)<{vsbS+Z%=aeXUdzW&D)cl{h4yJSFbj2PjdEW%A2Rn+moFAnR4c7^Y-UQ@7(+I z^q2Pj{AhY--=CcQdAgka$xn;7Cpr7`bh(+Q#oLpd{dv0F%+uoSNzVQ}U2f)S@%AKV zf1WNk^R#$-lCwWgmz#N7ygkX;pQp>sJT2ay>2fnqi?=5^`}1_UnWx3ulbro|y4=ju;_XS!{ybf7=4tWv zBxiq~E;sYEczcqwKTnsNd0M>2fnqi?=5^`}1_UnWx3ulbro| zy4=ju;_XS!{ybf7=4tWvBxiq~E;sYEczcqwKTnsNd0M~-_UGwxGf#`RCpr7`bh(+Q#oLpd z{dv0F%+uoS&tLzUy+706c*fqJ>6+Jnf6LjQDQ9n{&D)cl{h4y+Y4i3ZXMd)gdD^@^ z$=RPNXP!21PjdEW%9*Fl+moFAnR4c7^Y$cXf2N#y+Ppo<*`FzAo;Gh!a`tD+nWxR$ zlbrpTa^`9C_9SP2rkr`&ygkX;pDAaaHg8XI_GikOr_I}woc)<{=4tcxBxir7oO#;3 zJ;~XhDQBKGZ%=aeXUdtU&D)cl{h4y+Y4i3ZXMd)gdD^@^$=RPNXP!21PjdEW%9*Fl z+moFAnR4c7^Y$cXf2N#y+Ppo<*`FzAo;Gh!a`tD+nWxR$lbrpTa^`9C_9SP2rkr`& zygkX;pDAaaHgA9a+IR2$ng05N_Wn%QyzWoV{!BUhGi~0Uec4$NzVRE`Pr*io3|%9`!nUu)8_3-&i+g}^R#(;lCwWkZuaWc=Iu$&{!DrEw0V1y zvp-XA_UhHb?9Y^&y?V8Idy=z1Q{Fsn-k#*_&y<_J zdbN3blCwWke)j6s=Iu$&{!IDVt5=)1Cpr5wb?9Y^+y?V8Idy=z1Q-1d9 z)#mL<&i+h!^R#(;lCwWkZuaWc=Iu$&{!DrEw0V1yvp-YLJZ;{db?9Y^&y?V8Idy=z1Q{Fsn-k#*_&y+Jyo3}qdeCOVur@yrK=ZDif`~KwY z&(r1XPkvgwJ;~Xhr_0SeE#98w?9bEXW}X&rPjdF>>2fnqi?=5^`}1_UnWx3ulbro| zy4=ju;_XS!{ybf7=4tWvBxiq~E;sYEczcqwKTnsNd0M~-_UGwxGf#`RCpr7`bh(+Q#oLpd z{dv0F%+uoSNzVQ}U2f)S@%AKVf1WNk^R#$-lCwWgmz#N7ygkX;pQp>sJT2ay~-_UGwx zGf#`RCpr7`bh(+Q#oLpd{dv0F%+uoSNzVQ}U2f)S@%AKVf1WNk^R#$-lCwWgmz#N7 zygkX;pQp>sJT2ay8?j(>1UE{+6>pQ_kK@o3|%9`!nUt)8_3-&i+g}^R#(;lCwWk&OB}2p5*M$ zlrvA8wIO?Mcr5 zOgZzkd3%zxKU2;;ZQh>b?9Y@lPn)+VIr}r^%+u!WNzVREIrFr6dy=z1Q_ehX-k#*_ z&y+Jyo3|%9`!nUt)8_3-&i+g}^R#(;lCwWk&OB}2p5*M$lrvA8wIO?Mcr5OgZzkd3%zxKU2;;ZQlNT z|99{Gnfmh|XRlse^SVDd`!nV3&$M}alCwWkZuaWc=Iu$&{!IDVt5=)1Cpr5wb?9Y@pPn)+VIr}r^%+u!WNzVREx!J2%o3|%9`!nUu)8_3-&i+if*{fHZwec4$NzVRE`Pr*i zo3|%9`!nTduU>85p5*M$l%KtNwRwAzvp-XQ_UhH85p5*M$ls8YCwIO?aBAux%cPkFYW#LzVyz%KRNsJbUFKzpB8UVa`xxx zax+hhw~-_UGwxGf#`RCpr7`bh(+Q#oLpd{dv0F%+uoS zNzVQ}U2f)S@%AKVf1WNk^R#$-lCwWgmz#N7ygkX;pQp>sJT2ay>2fnqi?=5^`}1_UnWx3ulbro|y4=ju z;_c7(-h1!Q)0gf2`QG&2eSdQH=jn3xCO<9Sp5*M$)8%HK7H>~-_UGwxGf#`RCpr7` zbh(+Q#oLpd{dv0F%+uoSNzVQ}U2f)S@%AKVf1WNk^R#$-lCwWgmz#N7ygkX;pQp>s zJT2ay>2fnqi?=5^ z`}1_UnWx3ulbro|y4=ju;_XS!{ybf7=4tWvBxiq~E;sYEczcqwKTnsNd0Mb?9Y@lPn)+VIr}r^%+u!WNzVREIrFr6 zdy=z1Q_ehX-k#*_&y+Jyo3|%9`!nUt)8_3-&i+g}^R#(;lCwWk&OB}2p5*M$lrvA8 zwIO?Mcr5OgZzk zd3%zxKU2;;ZQh>b?9Y@lPn)+VIr}r^%+u!WNzVREIrFr6dy=z1Q_ehX-k#*_&y+Jy zo3|%9`!nUt)8_3-&i+g}^R#(;lCwWk&OB}2p5*M$lrvA8w?BXNyZ8P~-~FJyKhrg@ z`;)UjQ_lWOo3|%9`!nTcuU>85p5*M$l%KtNwRwAzvp-XQ_UhHb?9Y^&y?V8Idy=z1Q{Fsn-k#*_&y<_JdbN3blCwWk-aKvIp5*M$lrvA8 zwb?9Y^+y?V8Idy=z1Q-1d9)#mL< z&i+jK*{fHZwb?9Y^&y?V8Idy=z1 zQ{Fsn-k#*_&y+Jyo3|%9`!nTcuU>85p5*M$ls8YCwIO?az1Jx%cPkFYW#LuJq2nKRNsJbUFKzpB8UVa`xxxax+hhw~-_UGwxGf#`RCpr7`bh(+Q#oLpd{dv0F%+uoSNzVQ}U2f)S@%AKV zf1WNk^R#$-lCwWgmz#N7ygkX;pQp>sJT2ay>2fnqi?=5^`}1_UnWx3ulbro|y4=ju;_c60x%b|mr!U+4 z^H&oBXtRdy=z1PnVl{TD(2U*`KG&%{(pMp5*M$)8%HK7H>~-_UGwx zGf#`RCpr7`bh(+Q#oLpd{dv0F%+uoSNzVQ}U2f)S@%AKVf1WNk^R#$-lCwWgmz#N7 zygkX;pQp>sJT2ay z>2fnqi?=5^`}1_UnWx3ulbro|y4=ju;_XS!{ybf7=4tWvBxiq~E;sYEczcqwKTnsN zd0M&QeQv$wez!d2lRx2>2Yl(9Zuvw1^P6sY zz&AeS^kKLCo|&gUzx%(xy}Q5V_AmUc-+J%UZn@=N-?dsZ zpzln#`|KyA-G9New|nG2Ot<@jXQ$nN<#V_DQ!h`q`^x90-GA+iw|n+~NVogi7pL9- z?H}Imf4wW+?r;Ba+Wm`vV!M~TH{I^VKaqC-Z{D=s%Wq4!`!{b&yMOJww)>Bto^JQr zcctCmb?bI-z9Zf4u3OXY-}kB8ec;Q|?cVpPY4yMDo)4YRf7|U(O~BkKzdr5$C*HE%Pu?fp?kC=o zcK?5UaJ#!cC*AJ<`e54qdv4$EeUD7Hd(Z7@^|#*k`{wgo@A>$2+?uD|f5s!W`>d}` zxBHAoq}@OKE4F*YbJFb|{uOEWkA3=fcYbfW-D97gcK`Hm+wPe^ly3L*Z%e!Xn=jh# zZ@nhn?r***?f!dyV7u@Ag><{``GK_ifA!tkv4pKy=+&gY+S+ZU(f);#V00iVC!XFNIG z?g5{lc7MkgZTIkRO1Hb?i_-2N^Th2Q`@D3!$2>9Z{%K#o-P8YZy4};hKJETnzhk?< z`HFPAZ~cz6`xm`ryYG2jy4{Ojl6L*U)ueT|LFew)o)L?`|%%5 zyZ^6m*q{IWN7C*7>l@PUfBCKZ^I!Sobh}@EYuf#9eRw|q#9Kcv-R`$OoL2vdzxQ6- zecBhMOfByB~j3y4{cc zVA}nEdG&Vx^|Shzv>@u_hYY5w|mt; zOuPS?mv8r9-jZ(jGcQlOfBS#f?j0XYw|o14NW1^&UE6*9_H?_C-j!DW+wc3{`TV#4 zp5K>_Tl2L02j8~cLmrWC_u$*o?*GK6Z}+ILNVoeFpPqLA^^DtPx_qgp8UjgyC;24+WlX8 z(lN2(j(LEpZECfp8p-`cF%i!+WkNI+U@@7OVaKB$=9aczw$ZT{pgRR+r9ER zY4`u>d$;@P*QVS3r|(U>f9nrz_qJb5w|nakrQQG9YqtB1ccUzw?W?d){A7xBJd7PP_j{Pu}jId{?^NKYDW7{VTp{yH|c+y4@?j zDeeBJp10k9`q6Z|pL$-}{agO=c5i({y4_p;aoYWdUa{S;y*1tLL$64yzx`gXo6m2* z&xg}-Yo2!hpf_*#dG|`Ud(fNH?jQO7?f&Qk)9oJl{309%%hT>({2@FZ7ismMbo-CZ=bv=1 zUrxuZdD{JF|Lk@T`gpqCXa8*4{TIA_yFYTjbh|Hjd)ob1eq_5RJUHF%D?gHU|Ey2m z?(6<|y4|xrIqm+rpSRt2JSpAoxu2JI|M$OeyMOSF>2`nr3)Aj@5Key@#gV|L~V=_aonuZui4ql6L>^ zpR(Ogz98N1-#;bo{ujS_ySu(W-R>8^Iqm*E&)@ESuS&Oj&-2sj?|s`#=kt5t^FOEK z);#V0GhVsfXT2@m?lWGQcK`6#Z}*5_Pq%yc>(lNZ`309_E7I=Y^z`k1@q5$l-t_de`(ORG?cVc4>2|;RZE5xQxyOs<^ZVTPnsnTn zr`Nc6a=E+WljGZo99zCEe~ZKbLm@w0CUxj893o zd)hnF?!Wb8+kN{(((S(WV`=w)=f2zh-7ikJ`#bkdyZ^z@-|mN=oNo7npPzRBZ@*}} zfA>x4cK`N^((ZrZiQB#DdFgh)@WizHU-|m&e)S)x+x^Pdr`7+?TfbvI|DE@EMLKTH z)9yd*CEGpVb?J7W_L8*w|Cg^jkJGw3@Be>;IBqbqIUR6wJMxQ$A2;uc(k zYpAt`TECW9V~8<^7-NVrmRf45wU$_d#27=2F{lKIMKc;=P*HKmwG&DWA&U4ruXEk+ z&zZ-sx!-@i9*^tebMD!C4MWZ;iwGbaL>$E!>wsoy?^K2aPQruhI{8+)%w1*EAsQcJ?~VrOLNux-ERoD#{+7(-EUC6 zAG0vrxK1_Pn1!nM$K4<9_&3yW$K9`bf9~pVGd@(qox57~{{OuaZgyWa-2Zz;_5Q}q z;lACbhP!dI>iwO)!`(GW4R>d6)%%|f5BKv^)o?!>u6qCUq2bnCqK13=P}TdlI>Nm( zM-BH@hwA;di}Uk-wOiG2+b&kE@3-64;dWoFW|!uw_oHtPH|7yF+~}KC?~hp&?zpvT zxMLQn-k<$YxO3lE!=3$*>iyrY33p{rHQe8>QN6$6?Qq{3q=viUZPojK-4^c7F>1Jf z-KKi~(}CfBcB~rirvp{*J4c0k`fN2^=P1?tH>ZSq>vA>Rn^RQpw@weYZLS(_>vYxn z{sS({&-?FoyP92^tKN^gF5Kw*)NrG&Q@uaxws6Nhu7*46Hr4wxmxeogy&CS!rKbXH@U+ zeK*{X2dm-keOLAV@mjdmS?(0@eF#?+tg|D{8oF?^V73$5r9}X|o#cA6Kc~FMToGk9w=&mcFQZ|JbH*j}KSF zJ+?{p{-qtmy?m$|?xh`7?>}e_x4AeV6HKc4@A9KWtLC;g_l5hD}nvKjhSKpT15FcgU%#_Z^pn zJNY&>T*oD<_n)5=?k|_B;XXe{_5PY$!_8T#hP&og)%)))4)+i1)NtQhta`urk#I{k zs^JztqI&=6+HfnI)NqfkRlR@i{ctY~Rl_~^zUuwPp5Znfq=wtrQ?-834ukUZK|7tG zW|!uw_pM{X4V$5cYaOF{KjGMLhg_+Kn{ce^{j{^gb=;_in|8M9{Y96D`}`ehxQi}V zy}x>HxNDZF;jW&mdjH+q!+q~bHQaY^SG~XczHp0QQ^VbTpX&X?kB577iyH3X^atRb z&aVxsKX3H^e`L_w_2HgxR>Q4bujY9}|KA^k+c-iE_un6=*6*?X&iVNsI~=BFm*%SX zLx+WHJy{JmbeQV>!4tzxn5l+4c%th4)M??SU89DZI!*Qdf(yf4bc-79f(upeuevJS z)px7muDVL~{yX!+eRsJU?mP2T?-$(_?(S#QaEtCzz5m67;U0ch4fl%&Rqvm9I^450 zHQX~#tKPr2AzW9B8t%Ofs`Z0=Zq3gJZ$DbiF3nZ%2lo#*^k_BQ;Qp%j2aXJP@R@43 z14pXfpD;Px)LCk{6DF(PpLcq=3$9ngop-wG{p?G_U9~_BH~Ui6`)^+x?mPFY;l6#X z>iu2w!!25+hP!LN>iy4`g!{#dYPg>-QN3TYBHS~Z)NpH7sNTQxT)6jlRKvaVoNE1$ zT33EPWV=>1yEIq5AJi+{;PGm>LA_M(#|{p6;8Zo-*ukpz?c>6oaK0L@eVpq3=i0-a zH(L#t{{P|e^E3Vb#q<8kbHmNPNey@9xvKZyx+2`S?^MHm>k8HTJ8uYg*8^&}J8w|E z|JlNDKkrn-{cNG?{nPh{Tl0n*?&{;W~q&N*8Rch)G?`^%?qw+`G{%`VMV??-M7xBoCT+{lfp_fwj}9X(MEH>FAS{*0mF z&YGr%J7cKo{j7t+U4Ee&Zq`An_g_CD+&8XL!+re()%)9Lgj+aI4R`wt)%zb`8SW={ zso{QnrRx3a8^b;Mpc-!VjjH#r-VyHgr`2$;-l2NGWm&inH>lyZEK{xDbJr*H^F8}* zRkKTT)%&*B!tK{z4cGRX>irR0!c7^ehC5=5>iuV%!<{i%4fok*)%(jvgqwA`8t$?Y zs`pIya7%eScBZ@xR+mgm%Po9|YwAJ%7iem-p1E;YL}SG^zcOt^h}so_REqk4b% zyWu7eR>K|suIl}1wQ!#ur-nPNrh0#AOSsG0)o_=#sNR2dbhxjbtA_jPXx01Mjt;lr z3N_qqN2}id@XT;OxzZh;(Z#CSfUsSz6c~iL4hO6OD z-lTee$&TSJJyZ>M$&RY`Uug~Z)ebe>S6Wr?=Z_C}+r?_Q`QugZ@0l9zhgYlN?wP83 zzw-QWt8P}qtvp}#{)O4$URtDvdttWf{id73eejSPZqrSw^}~0%Gd~}`%NjMiG*`VJ z_CUDdZ>!;kJ)nAjNN2cDZ&Sk^(y4mi@kY2)2CCsY-cY^&{DKx3;O?|6o$MdoEMM{a}*n{fbk=t-MYRx8hXQ`{yqS_rh&z zxaTiXz27(|+@_^!xQ%mE>-XN_*8F_$omQ&ZrMc>T>*8?3)~VrI7pvY+cqH5*8`W?V z9#OrYwl>^nn$&R9)~eoL^nSRRL)CB>y{~%zrJmuwe2^OMOFdQZZy6Ns))Ullw+vFf z|IabuelSA~_n%`_?;klf+=?sJaE~0TdjIU%;hw)y4fpKXs`p)&hue6E8m{Yd)%p?J z&&|(A?66GDF3nZ%hu$8p^+`3{(A!n-556zlgxAz?2j8c9KlSl&)3&JLrarEEf5G~2 z7dNZnE?BR6f7J)!zBED&chv`~_c!kx?v}&Ua5wL)djI`l;r{bvHQe`ysopQ2819jo zYPjVSRquZ{E!?x$sNsG$P4)i$3&VBYqK13_Le=_xw!11n-)H-~)$Gz-^?vZYa6^}? z;RerBy+81-a0fr5hCA>s)%z133^(;%HQWggs@|XXbhry^YPj>BR=uCSA>7}$sNrUB zP`&^5)^InER>OUJtLpuK^bhy_qt$T#(O>ocp^@R1pQ(m>Xr$`>ZzqTQ-7GcSZzrqX zzk7PP_pevOy?eT9eOr%9^Ygat7O2^!x$6C(Yr_q`R}DAlTGjip^TQpuN)0!5zUqDZ zl5i)ysD^7_qI&QcS`_g>-t zW4s#f-+QUvKR7ttLsQjo4-Qtn|IN5?zdc_K_nUF5_iwj{dv~@P?(KHf`%liz&-d+d zlN#=mb5-m2ZMh=cpgYy<(p>fafE&V%eLxL&zzwSR$1V)l-l>K=cA@J1IroSA+#71R zbM9BYzhZT`D?e1jU9npA{+q9ayQ!}l?whZu-run~+`qS};qKV1djCN0a1TyW!#&Vj z_5P{h;eKbCzU7D-j z?|*f;0~V{{_P<*7{^*;-9s7tH?&zCU@6TEk?wqx1xU&|i-e3MuxGUaQ!(IN6>isv? zguAh)8txlwRPPtQ9q!)-so@sBt$P2HZQ&jmqlWv*Hr4xI4-EIzv1+(q4^+K>V^p{e zXRG1f7^Qmu(Ufo>U#^DxXo_n6e*LHC=lczut7ezxs`vX{7H&|Mp z+t;by|9E4#Wy92PKi;T%|3p)`Ur$uSJ<+6k|Ju-SZ%k9ey*5d^-x=Zdo2Q1`cZTZy-ddcWYwaJO$&!!3AH_5Me%g}cAM8tzB0sop=n zCEODu)o_n*QN4eqIoxZL)o`ygtKR={M7Telu7>;L2-W)in-9y+_wReDnq8W!-tTjA zxP7lx!|ijj>iwjd;U>>l!%dp0dVktA;Xb=W4R_i#s`r=P67I4UYPd^pQN91_-Qm9W zoEq+{cdOptwmjT|E;Zb3%T@1x_)NI_da2=l_>AiPs&~Wv*I+f=s&`fI*Vn?mGENP* zzNUKrhn8@EY*)kmp+&WRbnnsm`RL|z)$Gz-^?vW8!|ij08gB2SRqqcwGu)&b)NqHL zsd|6PtZ=6-RKuMzOZEPe>%(1ozZ&k6>s9Z+vLM`7SF7Q^vOsO%N5{Y4ef;-pipDSh5L)|l;W7H&QCid+(~ zpY&F^jvtodn5)iz=8NG@KC%?YTy_4G9m1XRR4Iinty81A(5N^#6p=TF}^-08nB z#W7c%|Ljl0P5(+Mj=Adm8OMh^bJtQFbJh7XpAC1`zn0>dtInVOm*LJCTZ&_@I)Ba| z!=3wZDUP}7{O7(AZpLRyam-cc&)YrRc`ujZn5)j8|NU?m{7os2x$69dhlIQElTsXW z)%lBl74G8il;W7H&VT-la5MKT#W7c%pZQj}zx-h-j=AdmC0`8pS4WoOn5)iTx*XwnjJ&j|3tN6Uv>vf(zjbneS_`KKa zb)G$qV}Gl7=Bo4TX&n1o#pS(Tuk-9_9Q#|v=e=I9^XzFH`&-55y}eePTg5Y1 zoo7$u*xxEH@AZ0}XHVnU-zuKD>O6ZI$NpAvd9T;&JbN0){#NnKRp;5$IQF-S%X__E z=h@RZ_P2^>t~$@2#<9OuT;A*TI?tZQvA-kP;__av*Ln6dj{U9TnXAsT zr*Z6W6_@vVz0R|zaqMpupZ9vb&a~9sH_jO6ZI$NpAv%vI;v(>V6Gies)i&z{Dyzf~M_)p_Sp2o4iRUC8GdG<7p{jK7dtIo5haqMpu$6R%uJ&j|3t2pMW^XzFH`&-2^SDj~1 zT!g&a~9sv zTy;L}@3Eg8oAa6=M8<@)7ho{t!B^u=KZffZ|KvW&Mxh5HM_jmldnH-=+mCgF70nM`@Gka zuRm|-)1J;Q?Qb>vyw{VjKX2&Mp3W}qZ#8@NHy?lfc|)J}barWftJ&qfo_zgzL!b6^ zc4>dB*{8Y6yrEBfI=i&L)$HLv&(xu z`TFyQKJDr3(*9PnPji)dL!b6^c4>dB+2y^SUcdglp-+1{yR^U6?AhOZ{PpJzecIF6 zrTwjDm-l+|_2&(J+SA#k{jFx7<|^}sKJDr3(*9Pn%X>Y&e*JkvpZ0WiX@9HP=e?eM z{dq&5_H=e>f2-N&y`Fsic|)J}barWftJ$Zy%Dkaxe}8;`>~D43HFOA`y$NpAv%vI;v&p7tCies)i&wj?Szf~M_)p_Se#WuC zRUC8GdG<4o{jK7dtIo5(aqMpu$6R%u{fuLOt2pMW^Xz9F`&-2^SDj}+T!g&a=O9>~9svTy>uPy>&$F z@2c;|{@$vN@cSFb{;rxF`x~EYJbN0){;rx_o~y>Qr*Z7>s>$WKYCL-y$NsLGT%N1O zv!`+F@2bh=xoSLn8pr;wnp~c%#q6f zJbN0){;rx_o~y>Qr*Z7>s>$WKYCL-y$NsLGT%N1Ov!`+F@2bh=xoSLn8pr;wnp~c% z#q6fJbN0){;rx_o~y>Qr*Z7>s>$WK zYCL-y$NsLGT%N1Ov!`+F@2bh=xoSLn8pr;wnp~c%#`q8}eePTg5R~oo7$u*xxFSx#~Q78pr-tam-cc+0!`ow~Aw~ zI?tZQvA-kP;+U(>v!`+FZxzQ}b)G$qV}GkS=Bo4TX&n1o#W7c%XHVnU z-ztu|>O6ZI$NpAv%vI;v(>V6Gies)i&z{Dyzf~M_)p_S{{B<1 z*xyy3jQ#y5wU^)DIQDndV5b)#UPA zHJ&|V5b)#UPAHJ&|V5b)#UPAHJ&|}}O~_B4+Dt>T!g&a~9svTy>s3jbneSIOeMJ>}eePTg5R~oo7$u*xxFS zx#~Q78pr-tam-cc+0!`ow~Aw~I?tZQvA-kP;+U(>v!`+FZxzQ}b)G$q zV}GkS=Bo4TX&n1o#W7c%XHVnU-ztu|>O6ZI$NpAv%vI;v(>V6Gies)i&z{Dyzf~M_ z)p_~9svTy>s3jbneSIOeMJ>}eePTg5R~oo7$u z*xxFSx#~Q78pr-tam-cc+0!`ow~Aw~I?tZQvA-kP;+U(>v!`+FZxzQ} zb)G$qV}GkS=Bo4TX&n1o#W7c%XHVnU-ztu|>O6ZI$NpAv%vI;v(>V6Gies)i&z{Dy zzf~M_)p_pXiJ$NpCF zd9T;&JbN0){#NnKRp;5$IQF-S%X__E=h@RZ_P2`9d%a%g+0!`ow~EhuyV6G zif67m&z{Dyzg1k`>-9R%p2o4iRXlUmdG<7p{jK8iUa!}A_B4+Dt>T%h&a~9s9 z_jo;{6Yf2(-rs`Kn=9Q#|v<-K07^XzFH`&-2` zSDj~1-kP;+U(>v!`+FZxzQ}b)G$qV}GkS=Bo4TX&n1o#W7c%XHVnU-ztu|>O6ZI z$NpAv%vI;v(>V6Gies)i&z{Dyzf~M_)p_Sp2o4iRUC8GdG<7p z{jK7dtIo5(|7U#c@2Xp4fB%m<-tTW5`@3p#>~DOo@$6|F`@3p#d9E7Ip2o4it0tG{ zs`2b;9Q(U!a(S*A&z{DyzpEyf=c@7SX&n2zYI1q58qc1_vA?S(m*=YS>}eePyJ~WI zt{Tst#<9PvCYR@`@$6|F`@3p#d9E7Ip2o4it0tG{s`2b;9Q(U!a(S*A&z{DyzpEyf z=c@7SX&n2zYI1q58qc1_vA?S(m*=YS>}eePyJ~WIt{Tst#<9PvCYR@`@$6|F`@3p# zd9E7Ip2o4it0tG{s`2b;9Q(U!a(S*A&z{DyzpEyf=c@7SX&n2zYI1q58qfaz{avxY z)s2_M{#Mi6{`nin{#J49ZPj`9G>-kP;+U(>v!`+FZxzQ}b)G$qV}GkS=Bo4TX&n1o z#W7c%XHVnU-ztu|>O6ZI$NpAv%vI;v(>V6Gies)i&z{Dyzf~M_)p_Sp2o4iRUC8GdG<7p{jK7dtIo5haqMpu$6R%uJ&j|3t2pMW^XzFH`&-2^SDj~1 zV5b)#UPAHJ&|V5b)#UPAHJ&|T!g&a~9svTy>s3jbneSIOeMJ z>}eePTg5R~oo7$u*xxFSx#~Q78pr-tam-cc+0!`ow~Aw~I?tZQvA-kP z;+U(>v!`+FZxzQ}b)G$qV}GkS=Bo4TX&n1o#W7c%XHVnU-ztu|>O6ZI$NpAv%vI;v z(>V6Gies)i&;EY*gV^8ddk@9_R@2<>Zyftu#j(Ft=h@RZ_P2^-t~$@2#<9Ou9COuq z_B4+Dt>T!g&a~9svTy>s3jbneSIOeMJ>}eePTg5R~oo7$u*xxFSx#~Q78pr-t zam-cc+0!`ow~Aw~I?tZQvA-kP;+U(>v!`+FZxzQ}b)G$qV}GkS=Bo4T zX&n1o#W7c%XHVnU-ztu|>O6ZI$NpAv%vI;v(>V6Gies)i&z{Dyzf~M_)p_i^HT*P5Dp)&}N(zoEyZskI5WK3VJWFPlmqyQ7xp zYI@#%e|PuWAN+21+yBEYrOD;FYWz<7-#Fj)ebZd! zd83cN-{=|P&X`^m$6U4kbMbxCZ~40X{^#PEtBv3P{B=HX&z)@2@_X-QKTXSejg( ztHuxFI2lMw&)t_A*b2UA0 z^!jZd+*ljec1>;HQ>Rwt^Uhr5c_Y8?PuFEPa<>Ilam-cc_djfE{=D!1&znk<&vVs$ z{zreIckSSxy;2+fFRxS`KjelQbCu_f-v3b#UR@ja)Z^K!2c~~Jm$}N^`rcQCS1&y@ z`*CWTH}XS{TU#6Z&aB#y%g(Mku4%U#bCu_f{2sr5t~U0x*4p6nMwg!c#af=L>3JhR z^yKt&`n2}i$Yp&>Pyg3io~!A31E0UoMlDVMR#Vzv>i)gY&U2Ny^ZUIwHG6f`i95&J zt(SQNpFhrhcj{T&W7Cc`mCuJhFO+#BKe+$j*T%M=SQ|X>h0^24*YaFV&l~xXKX@~_ zzpahD=YnqiQZ44{-!}C5b&mT~eEn43AH82R%^SUb{yH6ZT)e)T-k&^I)4Y+-@8@xc z*S(tF9v>HPFZb|Ep?BHO(9O z{BcemT=!~vdwl%7z1+c#eEvAwejoqVaMk~LKjvzB-r)7)zk4qJt>ZRz-P)L|X>R?a z@oz1+slR+Ayqf0LFTOheTW@XZlEvZGG`IeH@o!DHssC_mcs0$P-}}M4^6OW9DE>{u zz18&G`hTAnUj2{wHx>6*)7<)-t_rWti+|H`Z#B)W|GNvrt6z+NQ*v)L%^UdqeZKek z*VG1Y|KpmP|L;Nncah4xfzO}ky=P1dubv*G}ixhN(x#pTCBwX>R>~_MyYT;+KKAFtCt{rLf}4(y1zn&!^$`O%K)>o>nv z_2Wac-&0M`8~EDDAHP&E}-Mc6mE#-uUyEo~NI0BYAx_{rB0c=I7tYPwuD0OYMNXBNj2sucmn; zKkED?wNX7rWnXp1yR|%5({tzdJ7Qh-RsVTG_WP;nc_Y97^6%H$+7@JAb>!+=o~!A3 zBcH$jcD+8l%J-wbFKL=L@_e7|vt?HHRX<#qKY#nE>ACfR>z=7m>J zSsq?ZbLaOwZ$|c2FGz1MeO~rd({t-jI3c_`HT``CUQKiB4?HNmdhmte)ik$$@X+w; z&}re-G`D`crts?a6T_=%-pJ=af4sLb`&N}dkLb@OG|e0M{O6oc4L>3O`K{`Rt75LE z=hp9XPFHa1wrn&WxZ49qIJ}kVN=GHG= z7he5Q{Qb{RHO(9N{B<7k%)R;RS9NXrGeP?P9ipb^*8d`Yehg6`UL9UdbL$ty&yOMM z-S>xA)7<*+#Ltf*>UZPk#}GBmt-mUMehg8sj-MYx)HJvLg82C{M7=0}ehg95yn)YO z=RMXA&R@T(&rOZFnx0$#aIf&{qvOM?X>R@ZyTYrBTf?hqZvD;Ag;&3~V|X>qt^fNK z;ngo~3a_TQ^%pJ)uU`COcs0!%`22k~=z{e1NlaCmixso~W$cYgP-UfEaOI6nK`)%3i9&tIpO zZ@!NVXobc+PrQy{yZ{YLSY2e4{&s*vHSKY0azMg6StIVz6kp8@dSKsc6x2vYP z^-tX$Uj5B;;ng&^{()P6|8`Ay_1~9-SJT}38)t@BZ<-%oP4fmmf1L&# z6@PzR^_ckk;{j@VZvCkE`{M!X==l5N0cx6CKj7;8{WCz_E&l#^fSTsc_um?Se_VB2 z{QYr%H9fcf&5r!~{nfYTJT$!e^d;fdG;iS3|IYbLt>32U`Rk|lKe;8( zRp!=@jXyv4Q*T-oZ&yw820p)^eLufr{@?Gau6R7=YI^ScuK)Hx_EkTLKR@rPrsoZO zt;hCjYt0MR=a0YYmW44_)AL52&tIQsb!XW`>5%; z^S!@tN&fh&J~1-C-QH??-oWSgbC-kD*DLL>s^6U(b2UA8e&@^6&+Fu?c23NGXEi-< z;Pd;r)7R72KmGl8)o;Y#|L&xw=hlB=Q+W0A;qi9WG`D{Gi{aI?dWToj-1;L|g;$T- z99~Ux>qp)jULEyHcs0$f@3$blI$(8pHO(9Nyr*|KD!%?zkJ%h^H9fa}RQ&$5gE~5X zf7(G!bL$7h?@v3ZyT$KMJE&>yeACwW{i*7<`2DF#P0t(n{CR3RbY1@ZRXsd@e{53I zbL;n78D8D{gLu1Ynp?l~((r2U_2JbtcYgc-y)FBye~A6Ly_%j||KfGw)%Ew~*WX@E zbL$_wEWG-w+rz7A-oWSYv+bTwzb~ZyQT2s~Vy>p=)~|^Dvz@wfQFt}Yt^Yy#eSz0k z@3}d=n&#HuntorvtMji8ucmnepFdAM-;dwls&>WiZ#~uY-1^_m&!7LE>a(lj?W$>R z{qk$WtB>3pUQKiBzkg|X^*R=m@%w)d^`iLwzlWOU4SfDO^_be8zkXGx&5pU6o;UFEai-__ z<5#DpeUN^C>!CLG@j3^Oi`Q2toF8*F&F$-_=lS*33Gw$2J=DfNUT5gwczv}s{{E|n zn&$TP)ARiLYHR%cMe^}^Xzb(lw~xO+>!I!te}C3PO>_JD>3M#Ab%*%-kL2U^8~gnF zwf~O4f2+DN{{F3|rswYUalC%jjjj20laDs`@%n3@%b)+6`uvVDSJT|SetMo?UwwYZ zcwM!zkJouP{{F9~J{o`jS5wp6zJ7Y1UtfK6Q@p;~*vISN9Y23+>f-qMQ&ZF2zJ7Y1 zUteAPV!XcE*vIRCH-3KA)bGX5ubP_X_Vv^A{QBzm(w}G3|NSQ)ZS3Rqua2L8HT9bK z`BziZ+`fK#o?lRmw=Vwj*eEj^ZscCLsKRwT{uYNxLd79T(8~b?u zY4P*7rgp^7-LIh@ z_0`5cUcYr@yuLc@%$TcbZeKq=&#$izOaFTcAHVu%eV=@PX%P1$uGy0BPgMQ#rdpn> z>G}VC{krF##Fx*__a~~(nV#D))2tAFU^K2;hJZc;+U(>?>aeL-=-H9COwA0bSt+E-S?`SDoK&PPmr#QXF&D`Q4kt?Y_1Y$6R%O(86$g z%q+z*SDha`Cfwl7r8wrQ^Fx-08#=cX$6R&(Qyt-2TS{@vRp(pRhud>eDUP}7{IFT! z_L^9VW3D>C*S2uOSC!(JtIqE|FWiXfr8wrQ^ZT@h+h;>5j=Ac5+tP6R&Mw6q>FVRp*boGu+Xamg1PJ&L4A7xMMyn#W7c%KXyg9 z<8Ca)F;|^$KQ-L(gG+JDRp*a?E!+upK^4#Q+F=KF;|^GbxpX_7L?+c ztInT(VYttZD#bBZo&W5naMK?w#W7c%KjXS^XHG4}F;|^GtADt&)|KLztInT&XSj1N zEyXccoj>=WaOZwlies)i|G5?6X53hcW3D=X-l^fvA6$xKt~!7IYvC?fT#93^I)C96 z;VwF?6vte3{^FkDE?!-VW3C$CcPk&~>{{QC)5ovgJGqwUYMM9t{j2ZCe4NQ|OCP_A zW3D>?2_NU|TEANQ_*EQp)%bor_&AgAkv@Jkxja{$?|DnO?anU6F;|`MH9TCecS~{1 zRp+~rr8wrQ^E(_JZpWQVam-cccU%*0rv;@r=Bo2MUl?weQKdNMs`I;S3fKF= zQXF&D`99Z$Yo1z)W3D>CYyWV&t}DecSDo*BXSjZsmg1PJ&i6kkT>lSCam-cc2doG; z@WxUcbJh9XP7T*GxD>}+b-v}baJw%q#W7c%A9O{yJq|0yF;|@*+%w$Z)ulM*s`Eo` z2{-iYQXF&D`A-cG_o;VFam-ccTki|E=hdY+=Bo3AJY`>n9fohbJh7{=Z8CPMk$WD z>U?`!xc0769COwAPn#L;q%oy9 z=Bo22Z4TG5ycEY=b^bGR!=2nwies)ie@aWZQ`VQ_n5)j8x+vUfvr2KyRp(Ei81D3K zr8wrQ^PgQ6Zu-1Z9COw9LCbmn_o@wgnD<|OrxtT{uZF*W4SIz4KeiRW=yvxm*=YSYc_}b?ecC-F3(ltpP3u(cOBiDT%N1O zuWbppc73-dm*=YS&n^o0+^lX*F3(ltpPv}+`EA{rT%N1OuUi%Fg?ZhYT%N1Ozc@YI zORe3ST%N1OzqBFT`la2PT%N1OzdSqKE0eo5xja{mf3+#xtDW7NT%N1OzcxSI>odAF zxja{mf1@qj8(rO+T%N1Ozqu^jhB@7uT%N1OzttY@?dEPxF3;7*KA+#X=cqWpp)TF2 zey*e5G;j3xhxwcau6O!AQ1SU(N4?H-P6NmJ4Hciyb=2!T=QMDA)Axaj&*wVob)It? zxPIyTK*i^C9rZfTISt%^^nIY>nXAroP6NmJ4HcKqb=2!T=QMDf-%#<)Rp&XUf#dv! zip%FZ>UExT8n``Xmg4idj(VNvoCcor8!Dc;>OAK(aGc*zam-ccIj4c+{Dz9l=Q`?j zo^u+wJr|YY^SO?Co#&heey@q8c;>3}oYTN_enZ9Oa~<_M&p8bo=QmV*KG#vN^PJPb zaehO^=W`wPI?p)`9OpMwJag4~&S~H{zoFuotIl&y1IPId6_?L-)ayLwG;o~XQ1Q%F z=Q*c=dV_UExT8aU2xsQ7%Yqh9AZ zr-9@AhKgsdI?p)`9OpMwTt3%Puk(}A-&5i^zoFuptIkipE*$4K@cA4^^33t|=M8^; za!v!s`3)7vTy?L{ISm}=H&h&R)p^co;5fgb;_|tUdY$K-29EO^DxSIOJm)lUoZnD! z`CLc6&T~!!$N3Ev&s=q$a~e3#Z>Tuts`H%Fz;S*<#pQDy^*YZv4IJk;RD3?yQLpoy z)4*|lL&fKF9rZfTISm}=H&i@x)p^co;5fgb;+U(>b4~-t`3)79&vn%6Jm)lUoZnFK z`CLc6&T~!!$N3EvpU-vF>pbT)aGc*z@yu1{Ij4c+{Dz9l=Q`?jo^u*F&Tpvre6FKj z=Q*c==Q*c=SISm}=H&h&R)p^co;5fgb;+U(> zb4~-t`3)7vTy>sv8aU2xs5s`T^PJPbaehO^F;|`EoCc2b8!C>u>OAK(aGc*zam-cc zIj4c+{Dz8St~$>-4IJk;R2*~FdCqCzIKQFdn5)inP6NmJ4Hd^+b)It?IL>dVIOeMJ zoYTN@enZ7ESDhbpML5oH;3ipoI;W)p6r#R-S^PJPbaehO^F;|`EoCc2b8!C>u z>U`^c;W)p6r#R-S^PJPbaehO^F;|`EoCc2b8!C>u>OAK(aGc*zam-ccIj4c+{Dz8S zt~$>-4IJk;R2*~FdCqCzIKQFdn5)i@XT zIOeMJoYTN@enZ7ESDl|YHyr0T@D#^fb)It?IL>dVIOeMJoYTN@enZ7ESDoja29EO^ zDvr78{G?UkIKP3XIOeMJoYTN@enZ7ESDoja29EO^Dvr78Jm)lUoZnD!%vI+(r-9@A zhKggZI?p)`9OpMw9COuq&S~H{zoFuotIl&y1IPId6~|n4o^u*F&TptV=Bo2k*M{T# z2A<-WtIl&y1IPId6~|n4o^u*F&TptV=Bo3Y)4*|lL&Y&yo#&hej`JHTj=AbQ=QMDf z-%xSPRp&XUf#dv!ies)i&p8bo=QmUwbJh5^54zHScTKJB56en_cx?Lj=h9qF&l~;z z)wX#~xIea+;+U(>Z)pyrx$69%7KZz9W+{%j>ikDz!hN*46vte3e(UmZAI~kt zF;|`6))DTLmQoyZ)%j1>XSZ){Q7MkOYW%)EW`*lHu{60nSDo*illY!?nyU#W7c%-@PN;pq5e`bJh7l>%;A_s1(Osb$;-ya6=}R;+U(> z57`!O=&Dj2bJh7z%?sB$y%fh>b$-v*aC>ej#W7c%AGS2yUb9Pa%vI-yPY$ipiF;YQ3a#W7c%-)Ba+wzg6nbJh8_u5kMN!mg1PJ&L1!)+yR?Qam-cc$1D#wc5W$-x$6A5j&KLIl;W7H&L6lw+(C;< zam-cc51tin{KQfmbJh9r+rmv)Rf=P-IzMqAKDu3&<&+H=Bo3bUK;MO z*`+wuj&Z*tCmt6bJh7@tq=G3qEZ}l)%pLL6>jy!QXF&D`PJLPJ+Z13$6R&($$8;^ zJ-rmiTy?&)HC*S0QXF&D`KOkKdwO;$j=AdmZzhLZ(^QIMt~$S_Gu&_Im*SYK&Ob9F z-0#{-am-ccf7cam?XprFbJh80=Y)H%y%fh>b^iJ0aL=zT#W7c%U$-#a3o}b`%vI-K z924%v&80Zzs`D=`54V19DUP}7{L3BTUTG=CF;|^`Wqr6;7nS0etIoeRE8Oc7OL5Fq z=U?9z?u}KYIOeMJZ_W$1VR|W!x$68|t>NC

N%&I{)_4aPQ16#W7c%e|K`Y_nJy^ z%vI;#>kRk){8Aiq)%pLP5w5GP6vte3zN;(T@0XS0n5)ijoD=SU+DmcFRp&P~hugHa z6vte3{(l#S`(S1%j=AdmAI60H!{$;PbJh9H%ftO~ZYhqr>im|DaDQqk#W7c%|I_+# zA1*4zF;|`cXjZtb6H9T-Rp+;E3-|G=QXF&D`EB#UeKNfi$6PgjWUV#3k+lt_$>q7~ ze2=B!dd@DzF;|`6ZgRL@O{F;Is`I@%!)-sm6vte3zG+6d9okB9%vI-i=nA*vvQiv# z)%l&~gxk5j6vte3ewXHOyR0q6F;|`My)ay#nWZ@9s`Jfb!ZmL$#W7c%-*tJozH>`) z%vI<6b%g8RQi@})I^TbNxB-hwam-cc2hIw&+r&~FbJh5KPye3p#{GSD=~;1qUrqBy z|NC#gr;mGNTl(Lh>%~37`%|y;kFE;G{e3*eF;|`c<@9hXTT5}wRp+^<&+9(6v=ql& zb)I|r_+L#f#W7c%=bk>E`}-=6x#~Rk^l__al;W7H&Ogx>?uo8a9COuq?&i*&gnx=29GU)p_pe^ZHLOEX6Tbo&U|uaBIet;+U(>uh|^#x64a$%vI-~nH%nR z9i=$ts`K2_PtOOfU0;f0t~&qhqHxd6D#bBZoqv8}xaYT(;+U(>uUi%Fg?Xho=Bo4D z(@)O_z0_KYW3D>?(uQ#BmzLs~tIoeXJKQUiOL5Fq=U;6K_iAS;j=AbQ_w>{AL9fp! z#W7c%f1@qj8(pP1=Bo2=E(^C|PAQJL>ik>n;ofd8#W7cn&-eF#@T0iDuP*ufxWBKa zd840S`JO(m_lDYd71uj`KdH`hPaoGjyA;PFdqwQyg>E`Q7G(b59?)``S_*bJh7l3&ZU( zvlPc%b$;-eaNOVL{h&DJs`EpZhZ{P#6vte3o_qSdZfi>^j=Ac5>-uneE-J+_SDha= zE8JcaOL5Fq=l9wcj{Ez392Cb~b)I|rIPUMOIOeMJ`?QAR{yv`Kn5)jWEe*&0eLTf6 zSDojcK92kQDvr78{C=I`xWA95IOeMJ+|$Q#e_zEhSDhc-6^{G+c#31LI?p|Q9QXHC z9COuq?&;&Wzpvt$tIl&zAIJTD6~|n4{@^j;xWA95IOeMJ+|$Q#e_zEhSDl}f?y2Co zzpvt$tIl&jAIH6Y6~|n4o_qQ@?(eHO=Bo4D)5mdtU&S$3o#&oDj{Ey6j=AbQ_w;ex z-&b+WRp+^EpP+ui}`i&T~&6$Nha3$6R%ud-^!; z@2fcGs`K2_$8mpO#W7c%=bk=}`}-=6x#~Rk^l{wZS8>c$=eehkSJ$)Sa z_f;Ho)p_peb59?~{e2b3Ty>s%`Z(_It2pMW^W4+NaerUMF;|`Eo<5HI z`znsP>OA-Kaopcmam-ccxu=ig{=SN1t~$>>eH{1qRUC8GdG6`sxWBLBn5)inPantq zeHF)CH9p_pUot)J@2mH;#{GRY%^UsxmG9}}xWBLBK29IM>OA-K)AM|PU&ZBn{q-83 z@9E>Xzpo}A_xkH~o_qQ@?(eJke6PP==eehkb59?~{e2ae@AcQ~Joof*+}~I6%vI;Pr;p?QzKYBD`s;O`d-^!;@2hy`s`K2_ z$8mpO#pQeb^*YZzeH{1qRXlUmdG6`sxWBLB^1c3go#&oDj{Ey6p1JBg_w;ex-&b+@ zUVpvLb59?~{e2am@AcQ~{Gcnsac>`=@9`(coVQbd-e~{id-^!;?W;KM@2l?hxu=ig z{=SOK_xkH~o_qQ@?(eJke6PP==UeX!$Gv@gzQ>;&bKXwEpP+uj2B({(7C~o<5HI`zoHf>OA-Kaopcmars_>ef(H{ zU#%C%Ty=h2`n?y&{e2b3Ty>s%`nZGmeYIX3bJh8SXNDWk@2kmE9COuq?&;$uEHA|| zSDl|YH{2l|r8wrQ^M|&CJ9K?1j=Admrx%4gY*s0bx#~Rk^waZve_zGrd;Rq~KWSC? zBj%OjnXArEo*wSV)>0gE)p_pe^L|fRT8d+?I)BvcaNOVL?c{s>$uq~-pEuk;+|$P$ z(^-mRuDaJhc7C|yW|ZQXtIoH#g=_CB#W7c%KYm%b6XulSn5)j8*dA_bb19Cw>OA-K z)AM|9U&S$3o#&oD?xZoLIOeMJCv6VbvAh(=Ty_34bHkn7QHo=(I)6$_xKq}b;+U(> zb5B1#&-eFL9COwA(MeOoDxx#~Rk^m*Os^Gb2dRpawL{Uz_m{eAVG`{Vw;n&yrE z?=Roe$8mpO#c_XMb$$!qx5;l=TZ&_@I?p|QJoooi9COwAkH&=iXmcr!x$6AZ<>9!$ z&+AhhbJcn7>EpP+ui}`i&VRB#yL^8?{dc)I=Bn}eo<5HI`)YD|t~%dyTe$63mExGI z&i9%Zj{Ez(KE*Ltoo{Ll*R-J&$6R%Oho#|moL!1zt~$>>eco^G@2fcGs`EQ{hU5M| zp5mCR&i9@Xu1{Mjj=Ac5pRRDt%Sv&~Rp)n|6RvN2DUP}7Joog|^L@F$ui}`i&i7v! zZote^9COwAfn&lA++2!dt~$Tl@^CG4OL5Fq=XdW2H>jl)$6R%O(E4zDEGoq@SDha` zD;)Rt(|@-w#W7c%AF?gn&{d^4=Bo3bnisBhdMS>%>OA-KdB6AEP>N%&IzMb_xV>hV z;+U(>b5Ebw-@B<4$6R%O@6K=|=9l7_tIqE;BV1csDUP}7d|OwzeV3Kun5)i@oD*)p z_EH>k)%j7);kdt_{=0oCj=AbQ_w;ex-&b+WRp$>F6YhY`r8wrQ^JA8W8#}iY$6R%O zTt~PATS{@vRp+^s%`nd5EOL5Fq=f`ggH(^yNj=Adm#ChQknO=%x zt~!5cYdG%j^KnodbJcn7>EpP+ui}`i&T~&6H>s%<$6R%OQfD~s@AGyjj=AdmV%l&;7$6R%u zd-}Nc&80Zzs`JM$4|l@cQXF&D`4cHT~F;|_Rx;`BD_xU&|j=Admv{~U!nplcs zt~!6xws73v=k+O$x$699=7r<_KAz&3tIl&zAIJTD6~|n4{(;HixWA95IOeMJ+|$QB zIKLFfTy_5EGs1CypSMGC%vI+f>I(OZWu-Xgs`K2_=XJTiui}`i&Og!|j{Ey~ies)i z|LDSSD`uABn5)kJa!fex@ALW;$6R%OGOVbe_zEhSDo){4afa`JjF3roquX+ zxTj~A;+U(>|7LQyHBF^B=Bo2+I>T{)pZA~Qn5)h|Gb0@L_wf|RTy_3;UE$U)E5$Ka zo#&oDulrnkDUP}7{PWG>xWCWap*ZHM^XnFddtqiNj=AbQ_w;#P?(eHO=Bo2AEf2ST zZYhqr>OA-KdEHl9N^#6p=U-VLj{Ez(9g1VFI{(_NaIa4+#W7c%=bk>V%l&;7$6R&( z&3WNAOfSVTSDk;WH5~W%d3}mwt~$>>ecU^Z=4hEf7(lN%vI+%HHYK=KJN#`F;|`Eo<5HI z`znsP>ii$Zgya4`p5mCR&Tn2G?vHazam-ccw{(Q#{ywiyam-ccxu=ig{=SN1t~&qG ztZ>}l$5R}0)p_peZ<`nHlj)^6=Bn}eo_>0s@9(S0<+SJ$>GP?(eHO=Bo2MbcNe-St*XW>ikY~!tLB% zies)ize{ttUDlT3n5)inPd_~$$^Cs5$6R&3c}%$G&80Zzs`I-p57&2YDUP}7Joof@ zzx%h8;+U(>b59@7{e2b3Ty>s%`ncUDmg1PJ#^?L{OM1lp{i^>J_xG2mJsSS+zxkd% z?vZWj_0{Aa;r&sK=bk=}d;3+B%X8KEUrrCVvb9^2%X8Iu?&Kb%X8Iu?&;&7=<3$w@?15Zd;0ia&*|3W z@?15(vpw8X&E1+@o~y=lPoLL+dSSOFm*=YSznK}1`}@3|+~o3HHGa+JaKByNt;yxN zYWy>E!~L$KTa(Lk)p+jd^M0>g->u2zxoZ5gi^4rOt6P)HbJh6gCx&}|Tel{c=c@7R zR)u?EUbiNf=c@7C)92%VskK{^%X8KEmo|i3zqDJE%X8KEmuH82WpcMBm*=YSuQr8y zwX<83%X8Iu?&^3KHq7bPzhCv8xWB(x-K*ixuY6A**E@Y5R86jT`hLRa8qYm_Tyy$9sG3}! ztH$q|zHe}S)AvEuUEe*%LeSB_md9E7I zJ$)Sa_p2tC=c@7hb%q-?zgv^bbJck6>GQgy+qyNmJXeh$-4%{|`@EgpV%l-YT$>q6fJoof*+~2R7T%N1OA3P=;_xAC*$>q6fJoof*+~2R7 zT%N1OPfGV!aNOUonp~c%#&b^}$Nl}P$>q6fJoof*+~2R7T%N1Ob59?~{r#%R<+*A+ z_w;ex->;fno~y=lPantq{i@03xoSN3^l{wZubNz*tHyIrAIJUus>$WKYCQM!aopdp znp~c%#&b^}$Nl}P$>q6fJoof*+~2R7T%N1Ob59?~{r#%R<+*A+_w;ex->;fno~y=l zPantq{i@03xoSN3^l{wZubNz*tHyIrAIJUus>$WKYCQM!aopdpnp~c%#&b^}$Nl}P z$>q6fJoof*+~2R7T%N1Ob59?~{r#%R<+*A+_w;ex->;fno~y=lPantq{i@03xoSN3 z^l{wZubNz*tHyIrAIJUus>$WKYCQM!aopdpnp~c%#&b^}$Nl}P$>q7)*ysEEi&w?{ zef0OA-Kaopcmam-ccxu=ig{=SN1t~$>>eH{1qRUC8GdG6`sxWBLBn5)inPantq zeHF)Cb)I|rIPUMOIOeMJ+|$Q#e_zEhSDojcK92kQDvr78Joof*+}~Gm%vI;Pr;p?Q zzKUb6I?p|Q9QXHC9COuq?&;&Wzpvt$tIl&zAIJTD6~|n4e$W-+xVMj|IOeMJ+|$Q# ze_zEhSDojcK92kQDvr78Joof*+}~Gm%vI-G?+eGheLTf6SDojcK92kQDvr78Joof* z+}~Gm%vI;Pr;p?QzKUb6I?p|Q9QXHC9COwA1Ngl+`2+Ypwq6`_HO(9S{L1(Aabx*C zwq6|f_f_Y|@q2G_+}~Gm%vI;Pr;j^`-(%~=F;|^GcxJfq{2rS;#W7c%=bk=p!tzoa zbJh8YbHg3dQHo=(I)7+OxI@>M;+U(>e|k~4!)BG@n5)inPd`1+_xDvCbJh7ttHK{K zuN22zb$;^na7VV5;+U(>b5Ec5d&<&M9COwAqh^QW-ac=K;+U(>b59?4OlK*Mx%&U{ zZEvx4*XO$LyC5|b!U*JG!l8x;a0?;^N-&g_nzCpOq>U+>$kLX!d}(RlSX$MVFMVlg zNn4h-w3SQG)l=H8wd9LlSXB>JS|C;Fu7MO>0t+{^;GVYJgH$)GY0dt9-*-IY{onYx z`f9!-pYb1VtVzdYKF)vcOuEm{RB_x@=h^A={K@Sqj=Spo)2r#8S*YT;tIj_=neJ4t zisP<2&rYAu|Apl$j=SnSJAM4=u_}(c>ip@QbW>|p9Cy|E=N8j_X{w6jt~$?7pU?mN zW);U>b)KC*{@>=RIPR+RFN~*qVYiCot~$?7pXX06RdL)^<72=7-~adA@2mgg?%eOI z?Y`GPzhbA4W52KB*zc>(U+$&5yivt*SDk04&vXAVTg7o#oxd`c?#fOT$6a;)pKIyZ z?ejS(j=SnSJAEAceHF)Db^gQ6aIxEOe=c|Y&2z{1U*GHRFLwGk_WNpcx$E!OJU@2& zxU0{nr}(ROtIqFRO2=-W_lX^U^W1r#{_A^w{-Kd{Lt9lGchx=rnw50y_jx|WaaWyZ zr;lU5uj06?&R@5lj@>>!cKpqA$M;{~^XK0`lkSGmDvrDAo`1u3y54FP$6a;)z(Tqk zC#yK_s`Kph`MGb}sN!PR->>rrm(v}Zt>U?>&L192cX+3Yo^ma<+=&t~!6~Tsn69?a#%2AIDvF{GS-%hN?L3s`GcPr@Q-16~|q5{+^k1qoY+E zch&jP?R1}5t>U<=&VOUMIQIK0j=Spo@v(Hr zcd9tUMxD(@59Cy|E6T9gi zIbX$bSDhbUO84k=6~|q5{;`pC?DqLNV#nV+cYOc#J^%U1P9OL9d=Yo4PLOOQ) zc#7k$I{#EJ-BTM?9Cy`ucKSS*{l1Fht~$?7A2+#E#c@}ie|jz5GmBLmch&i4r_!Ao zuHv|>&Y#*$$8MjWBX<1FbI12z-}CSPi*xDN@8cimzE)BSk1isP<2|KeCW zcKbY^;<&5MzqFR_<;5zFyXrhUeV)sHU&V1(o&U*ZI(GYbisP<2|I@j2uZ&l5+*Rk< z>GRy5ov-4!tIo62$Ftv8aokns*GJN^+sDU_zj^NX{_A`G{=d4C?zQ*?6-^Z6-`yXyQKGwImv<0+22>inDA={8oYIPR+R?DTo=Ta#5Bch&ie zy>#sMd7s$vH_sj4e|^utzqgmuy)#?IaaY~*+3E9K_WLT1yXySAYw0!@t2pke^X&9_ z?xo=>j=SporOkBg_IV$Q$!Bl8L#5FtIo62=eg|nRUCKK`45)TZB18k+*Rj) zJCcswKF_B(?yB?b^l`tRuj06?&i`Q|-5-alIPR+R?DTm)`+XJ1U3H$FK92pqisP<2 z&rTorr`0MhcK!W2|L28tf0?Y}xvS3qwU>_FKA(f)xU0^y)5o#jS8?1`=l?dAj@>?< z;<&5M@2sW!`(hQxU3LEQR62J1JfGsYtIo62$Fbj6aoknsugs-mw~wbd?yB?b^l|L> zRb1@)`*nVIDcy(DRXlgq_}J;U*JHo0HkZ5pew}BhkGpEVis!C6fAvJVeM40och&iQ z>*+pvri$aPI?qm@&(D5e#c@}izh*n#wX0Pech&iiEu_0{vWnxbI)8mH-Srz)9Cy`u zcKYr0*zc=2?yB>>vGl#2DvrDA{DHM}?Du&-#c@}iXQz)lI9$bXSDk04k7vKH;<&5M zv(v{N8L#5FtH#HE|G)j`-0!RZ{nNSMSKEEB|Na&`ecZX-_V1tj#hv5x^y@r3eH{CJ z6~|q5{>ABZFO5`j+*Rk<>GRx|SE@Mfs`Kph@jscU;<&5Mv(v}3-&b+mRp;61<6fDm z;<&5Mv(v}_Y`co%t~$?7AOG`(DvrDA{Q6|NS9?_)chz}z`aJ)&ip}o>DcY_ zJ`~4Yb^gLmx?ikSaokns-&jod=2R8OU3H$FKA(GIvx?)cI{(YF>E4>F;<&5MUmQ<& zakq-&t~&qr`E>6rRdL)^=h^AE*JHo0;<&5Mzq^%wbES&ot~&p#`E-{isyObd^Y0C% zdvCpp|6A_&)pp=L1?;B5X+*Rk< z>EmwRsN%S*&L3S)cgt)Q$6a;)*0FT#_W2wX$6a;)wzYJ(FII8fRp;61^W2f)DvrDA z{K#gyJI+>d+*RlAoJ)6Xyo%$lI)7|89lL#g4vOQhI?qlYclUG^$6a;)o{@Cy_IV$Q zErGnt>U<=&fmYCj@>@* zLvh?y=h^Aw9+<4+xU0^y)5o*lS8?1`=h^Aw*zc=2?yB<-kELU`kEb~9s`KphaqRb1 z9Cy|ElWnJhW52KBxU0^y)5o#jS8?1`=h^Aw*zc=2?yB?b^l|L>RUCKKd3O3Z_WLT1 zyXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns z+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY z$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)Ic zDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+m zRpVp7|LyVI?+?10`~7dL<9mMpik&`={r;fM{fnPpHJ+V*bFtqaw7Iyed;8ewEqb%58532eSFkBpPfFA{r;fM#a%U?oj#8J{-DjpT{WJaK92qV zpv}cyHJ+V5j{W|i&Ba|co}E69{r;fM#a%U?oj#8J{-DjpT{WJaK92qVpv}cyHJ+V5 zj{W|i&Ba|co}E69{r;fM#a%U?oj#8J{-DjpT{WJaK92qVpv}cyHJ+V5j{W|i&Ba|c zo}E69{r;fM#a%W2=$F&6+s8+ni@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2 zn~S?@{K$9GvD?Q-n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA z9Q*x2n~S?@{PFg^7k7NS)8^u?8b8MO-R2+Q`>NVp+*RWrY~Oov?Dq$4F7B%F?DTP; z;`^%FT-;UTA8y}!aVPk`sx}vQ)p&OLxJTAHZ7%Mr@#Bl>9-Zp6xwxywKQ^51vCU4K zi@R$4r_ZK)e6G{x;;tIcPM@FuKkjzgT-;UTPo7WrnWavfi@R$4#B{nRMmlXS?yB+Z z^!fasUFo#BxU0rLIiHT*KJOE4F7B%F?DTO@t#{g7+*RX0cP8EEXF6>z?yB+Z^m+c| zcBjq7T{Zsc)pXA+blP0pRpXzXOn0i+X>)N`jc2FN=l{ZTr_IG(HJ+V5{`6R<&Ba|c z{`5||skKgim_lbXRt&IPR+R|6EJQZlBLVaokns+3Dlh@2fcO zs`DRihKt>P`*Tqoch&gV>Eqb%tIfq-b^fZ|bXT9R;<&5M?^{a8ZlC8<9Cy|Ep^*MgR&m@_=h^AE*JHo0;<&5MA6!m&L7=Ocgxu-j=Spot#j$v@3%h}`+XdD)%n|Y)7^f)isP<2 z|M8`CBhytJchz}z`h4y?wyHSps`GcQq&qfW#c@}iXQ$8e?;5J&xU0_JwVv+oGgTaS z)%km7(v6N*aoknsN4L{`Vzr9nt~&q8g>?5$R&m@_=kM#KyKke4O4Dr{K=sz zj=Spo$@O&X_IV$Q?<;<&5MKh;b3 z)J7G@U3H$FKF?*puj06?&a>0UP3}~2+*RkFUQ74PVim_-b^h6@bf<=^IPR+Rr#92E z+vn$?IPR+RUz|(FZXZu^+*RjK@1|q7kEb~9s`Jk+rDL~`r#SAa^X&9-?Dth1ch&jt zPNZYEkEb~9s`Kphao;;r#c@}i|NcxmcKf^!#c@}i|G{>;AFft$+*Rk<>GNFn`znsR z>ioH0I(GYbisP<2|D)w}Kc21PxU0^;IF^pxKF_B(?yB?b^l>jQR&m@_=h^Aw+3%}3 z?yB?b^l|L=RUCKK`Jc|Edu6m%vd?c*tq zyXyR_E9qXFuj06?&c8m9?!r(N$6a;)!g@M(`+RDcY#DUQ49{LWgszb{sC+*RiofBcKdjW z&#a(rtoj&fW`6`aP>ipFc>Glm( zaokns_pPV<=$R^xyXrhUeLg??eHF)Db^e;|bl0v{aoknsKemwWy2&bzyXyS)y>!=a zRB_x@=h^AE*JHo0;<&5M_r}uqcB(k;s`Cfd(y`m;`4q=pb)KC*?%;40$6a-voj#uZ zzKY|nI?qlYcVxVZEq7rw*UX%esSmcJpDS) zP9Mj9U&V1(oqusU-Af}?9Cy`ucKST`<&`RqyXrhUef&=*syObd^X&BT?Dth1chz}z z`nXqSsyObd^X&BTKijV2xU0^y)5rgOp^D?KI=?=d?$uru$6a-voj%WhZMll$t~&qv zY&v%Pybr~3SDnAGlkOL5RUCKK`8O8Ry*X9IaaWyZr_bl!*sS8XtIq%OY`VARsyObd z^B2d{UEHnWxU0^;eLmegOH~|q)%pK9o$lR{DvrDA{JUG}Hdm@R?yB>@nooCWqKf0L zI{)5Ky7$(rIPR+R?DX5~vENs5+*Rk<>EqttuHv|>&VR6)Zfl{6Emx|f8T>P7kAb8gEQ$4jdt2x+*RWbwci__d$|35587PZRpXDe z-y0me{Xv_HyJ|c;eca6(oi-PD)%c^!>28_rw7Iye#@{-Yj@>?=BidZtRpW14OLzNX zr_IG(HJ+V5&m9@=w7Iye#*b{KyW?!9&Ba|c{?55{$HqHtF7B%F$9B`P+vn$qHWzo* zcy{`@yQe#CF7B%F_l%@tx6k`Tn~S?@{OC$LcKi5fb8%OVXQz*2zdvYmaaWDMcRd}u zeSEaJxU0sq)5o#jAGEo+tH$5Io$mN*r_IG(HJ+V5&t<eeSEaJxU0sq)5o#jAGEo+tHz&f`xPAf{Xv_HyJ|c;eH{D! zL7R)aYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA z9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@ zJUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+i zi@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc z{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c; zeH{D!L7R)ay0?%0{x{F&eqa68T<-VPcHis&ei%D_9Q%D0_pkP!i|RZ({q}n7_f;Hs z)%e)w`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY z$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1ch&i$Urxtv zA5U@IRp;61UMIQIK0j=Spo$am7Q+s9KJchz}z`Z)Ic zDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDio3_ul4@^F6j-9Cy`ucKWyn z_#WFYj=SpogM9C8j{UxhUM?e*C2t2pke^C!=z|IAVq z$6a-PVmjRuBUK!C)p>UMeD2S#RB_x@=bxNU$8MkZp*ZfU^X&9-PpwyR+*Rj4cP8EE zXR0{vs`KphdH&>f6~|q5{^`|p&n#4N+*RkFolJMCSH*ExooA=d=l{ZT6~|q5o}E7a z^jHt~$?7AOCN2RUCKK`4`61 zy|7!waaWyZr_b}Jm#R4Ks`0Vk|JKRe@2lV5m-~IS-S_(b6+3+#`+XJ1eqVL|axdNG zjVg}2>O4Drp8Jp4DvrDA{FSkES9Yp6?yB?uTuaAppU**Y+*Rk<>Eqb%t2pke^B-=8 zi`{*MgR&m@_=h^A=bKkU4#l^0_U*``l zr#m!T#dBAkKRlN1@JN2@sQs`I1U={~Vq#c@}i|KvitdncveU;sK3~OgSKag3>EllhRdKQF@7MX0>*?6-^F9>MU3Gq9CLOzd zeC+s}=Z^2czUR;X#CAG%`*@1uuDa(xxsZ-={o(y`me zQ#^Ood3O4^@13dQxU0^8e3+Cc#c@~N^V#Y1T=x4a zj=Spoxn4SU`*@1ut~&pt<#a!ut>U<=&c8U8j@>@br#SAa^X&9-FE3Vc+*Rk<>Eqe& zt2pke^X&9-?Dth1ch&iy&ZT>0yo%$lI?qm@=d#~daokns+3Dlh@2fcOs`Kk3>DcY# zW5?e-cYOc#J%9gST}k)ad=t5qC#)p>UMJol~1DvrDA{KZ~6cKf_f?D(7Kj_<#|=ilGk%jw>kt>U<= z?)mKWc`o~X6~|q5{@t~7n~PN(chz}z`aJj2a23a0b^g+3I(GZK55;j;o&WV*y5Edf zaokns+3EA#_s>^x+*Rj4SW34wUBz)%o&W7fI(GYf4vOQhI?qlY_xt%Oj=SpoA12cM zaj1&pt~$?7pXamRS8?1`=h^Aw*zc=2?yB?b^l^V$t>R+W->>t3UP$+s$ts?^>il1O z>DcY_IVg_1>O4Dr9Q%D0$6a;)Z)54$?c*tqyXySTTDre4R&m@_=PysCW4F)qDUQ49 zJUe|H`+XJ1U3LD-Tsn69c#7k$I?qlY$9`YM#jd|!=XaOVeK=jkb61Uzoql^g_WNpc zx$E!Od3O4^tLCeC?yB=wPoRK;;uo!_^f?xSa_IPR+R?DYBk?Dth1ch&i8w$oj^ zTE%f!o&VTEy6YyZIPR+R*Z0z0zfr|;SDk04-(HXXzKY|nI^P>h-`lC;xU0?|SWCxl zpXXB?chz}z`nZF`RUCKKd3O4E_WLT1yXrhUecX}pDvrBqeC+qX`F!s8)oO4DrJo|kW$6a-voj&fBnJSLE>O4Dr{Li+lxY+gg>pVMs{LdGvcyznT?NxEyRp;61^ZeJAt2pke^RLgQW4F)yP#kyF`3pPgez8`?aaWyxV=>*EQ&k*y z)p>UMeD00SDlT^Y{W|~4v+3TNtKzw<&R-l)cX79hip5=bhpe_aoknsZyig= zZlBLVaoknsZ(B=u`(hQxU3H$FKF=K)uHv|>&W~)SyW?yX$6a;)&bf5Q#;Z8)s`JNo z)3Mv<=b$+5s`Kphad%Hwaokns?-@yV&sG)3U3GqRB^|qcJ_p5dSDk04k7K{D;<&5M z-@BfU-9Db;xU0^y)5o#jS8?1`=kMQ6$9^AAaokns+3Dlh@2fcOs`KphaqRb19Cy`u zcKSH>`znsR>iolF>DcY#DUQ49JUe|H`+XJ1U3LCs+o|B#@2fcOs`KphaqRb19Cy`u zcKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0U zvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pq zisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKK_}K5C9nSs!pqshhKdTP!`TZ+)`Z)Ic zgEsdsety+>cKXf5et*#B;;!!PW2cW}zdz_^?)TgC_4;1lA7iJFW4}LWbL{u=QS*Fu z`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj; z)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4 zHWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)%c@dPRDK^A8jt~s`2df zaqRa8Z7%Mr@$B?*?Dq$4F7B%F?DTQ$_Xlk*?yB)4-$}=AA0KTl?yB+Z^l|L>2W>9y zs`2dfaqRa8Z7%Mr@$B?*?Dq$4F7B%F?DTQ$_Xlk*?yB*}+xK4F@$F8Vi@Rz(JAM2E zd|y?Yi@R$4gYA1Sj{W|i&Ba|co}E7KQ+!`ln~S?@{KM^gFYW~2SJmd?t{TryANR;w zr_IG(HGX_C-J?^THWzo*_{WCRJ+|3tb8%OV|Mb~(kI!}5T-;UT+3EB1|Hs`-0rlwxU0rLy_)Wsg-)A`yK4Nilj%*xF7B%F&kv`2 zezVi&;;tIcPM@Fu-{v}PF7B%FFN~*qVYk!f;;tIcPM_ybFLl~n+||8(?Dx<9kKFI8 z-~2ywzpu9YUf*A0r;lU5uj1J6tIl8UrMt}UVS68nim_lbXRt& zIPR+R|6EJQZlBLVaokns+3Dlh@2fcOs`DRihKt>P`*Tqoch&gV>Eqb%tIfq-b^fZ| zbXT9R;<&5M?^{a8ZlC8<9Cy|Ep^*MgR&m@_=h^AE*JHo0;<&5M zA6!m&L7=Ocgxu-j=Spo zt#j$v@3%h}`+XdD)%n|Y)7^f)isP<2|M8`CBhytJchz}z`h4y?wyHSps`GcQq&qfW z#c@}iXQ$8e?;5J&xU0_JwVv+oGgTaS)%km7(v6N*aoknsN4L{`Vzr9nt~&q8g>?5$ zR&m@_=kM#KyKke4O4Dr{K=szj=Spo$@O&X_IV$Q?<;<&5MKh;b3)J7G@U3LC*%jwwf^L&cqt~$?7A2+#E#c@}i ze|jz5GmBLmch&i4r_!AouHv|>&Y#*$$8MjWgW|ZW&VO+(9lL!z#c@}iKfRld-9Db; zxU0@Tx0H_EKAz&ZtIo62$Fbj6aoknszdMnR-9Db;xU0^y)5m@9Oclpnb^iM^>DcY_ zJ`~4Yb^Zt2>3+Cc#c@}iXQ$6|+3%}3?yB?Wdg;z>RB_x@=YO=E?#HuL9Cy|E7st}E z+voFB9Cy`ucKW!N7ppk#s`Kph@$C0i9Cy`ucKSH>`znsR>ikdV(!DZX#c@}iXQ$6| z+3%}3?yB?b^l|L>RUCKK`Sp=>?Dp{#$6a;))s=Lw%~x^URp(!yNOxhVisP<2e_=fx zyL~=C#c@}ie`6-yo1;}6ch&hfx6^H`R&m@_=h^A=x!;in0U{eHfR zDcY#DUQ49{LWgszb{sC+*RiofBcKdjW&#a(rtoj&fW`6`aP>ipFc>Glm(aokns_pPV<=$R^xyXrhUeLg??eHF)Db^e;| zbl0v{aoknsKemwWy2&bzyXyS)y>!=aRB_x@=h^AE*JHo0;<&5M_r}uqcB(k;s`Cfd z(y`m;`4q=pb)KC*?%;40$6a-voj#uZzKY|nI?qlYcVxVZB?dHQvpoj#8JzKY|nI{)Hyx|c?(IPR+R?DTo=%PUnJ zchz}z`uLwrRB_x@=h^Aw+3%}3?yB?b^l`7uRB_x@=h^Awf3{u4aaWyZr;q>nLKVkd zb$)#^-K)JSj=SnSJAI!2+Hw`gU3LET*>vpoc^``7t~!5VC*3dBsyObd^KUGsdvmIa zrEsRdL)^=P!<@ySQ7$aaWyx`+T~0mZ~`Js`LMII^DY? zRUCKK`FFR{ZLU;t+*Rj)HJ|R%L>0$fb^g7fbnmTKaoknse|;w1Z)U1E?yB?b^xNx4 z-`}p{xU0^8u$pdbp^D?KI?qm@=l`x(#c@}SkNy7F-pl>I`t@(;eqU|(z5e+XJAK^# zt&f~gar@ivlj=M>eOzz8isP<2f1v%|;BOqN;<&5Mv(v}l)c(Fz9Cy|EgEQ$4jaG5o zRp$?Fr#syKzIi^yaaWx`vXGA5KAz&ZtIo62$KAY9#c@}iKf0Xmmf0$fyXyR{W9iuK z^EoJvyXyRHYw2!Ztm3$<&a>0!xg*0>9Cy|EkoUP)xtIpp!m+shj6~|q5{@89h zcKiGs6vth4o}E7K?&&IyyXyQsBk9=h^F9>EU3GqRB^|qcJjHQWooAEqb%t2pke^X&9-?Dth1chz}z z`Z)IcDvrDA{KI4E*zMyfj=SnSJAEAceHF)Db^c`Aui)74t2pke^X&9-?Dth1chz}z z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1 z-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxh zRUCKK zd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62 z$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy|D*zbRRG57oGH>PsGueSSM-@js~k7K{D z;{Mfse${z)`t9}D@2fcOs`0VU$Fbj6n~S^ZJo|hc`+XJ1U3H$FK92pqisP<2&rTo5 zeqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0 zj=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~!6b zeecB`->%}gtIo62$3MXL)qZi@Rp%dU-+OWF_f;Hs)p>UMxKHtYwO<@})%k~K)1Bb^ zYV#DwU3H$FKJJmVDvrDA{P<$JN2jVd?yB>T4X1l-vx?)cI{)dj=^me};<&5Mv(s;{ z$9`YMaaWx`c|QGTmZ~`Js`C@m>7E#=;<&5Mv(x8ue|DvcLO>j=SnS zJAFR?7nZ9y?yB?b^zo<1syObd^QU*xO|4aN+*RkFTTJ(*sVa`U>iqM=>7L)L;<&5M zv(s;{$9`YMaaWyxVLbf{yHy-_)p>UMJa>AjisP;tAN&1p+@AaWLEq2){x{Uy_x%19 zJAEAc{Xv^!zmJa^f4TkM;x2D=+FaaK_Z7%Mr@&8;) z$8MkZi8dE^)p&OLIQIL4HWzo*_zyS3#csd(Y;$o}_x7>V$FbiZ^!?oLx4%EVzSsBf z*y-c0=J!o)?yBAPKda{X``YgGQeS?+@Bs+*RYRTTjPsA0KTl?yB+oXVTp;+G%rfSB<}6J6&(J)8^u?8h>CR-Hnr- zHWzo*cy{{y+&67>+FaaK;}0&UJ2cyAb8%OVKRlN1@J^@A#a%W2$XdGL#ZH@xyK4N+ zQ|XQlciLRsRpXCtrn}{Ar_IG(HU8GQbnN%}dx$m{ch&gYcGKN{zSHL7t{VUGrF0|H zoi-PD)p&OLeC|88I&CiQs_}QOq&qg>X>)N`jc2FN^Y0q!w7Iye#^1G`?(Q?4HWzo* z_!rJIqtoW%t{Trypa1;t zpY61{xU0q=A4_+9r_<)*t{OkKmhOSYPMeFnYW#y!=^h&Hw7Iye#GNch&eOw$riO$48rs zyK4NC3+evTWT(x=T{ZrxUb?3?I&CiQs`2df`P}UG2W>9ys_~O!=_YqNZ7%Mr@lUU% zduFlI=Hjjz|Ljz{Q^TD$7kAb8Q=947?ep_Tn~S?@{1@lavD?Q-n~S?@{OR3v?Dp}| z=Hjjz|J+hKcKi5fb8%OVXQz*2zdvYmaaWE1?nF9v`}k;caaWCJr;q#InNFLFyK4OR zXVS6T=Y68h#a%W22ixg>xY}uRaaWCJr_XcQ?+@Bs+*RYx_0qB5$48rsyK4N8mec)s zw$tX~t{VU1SUPt5JU`l8+*RXWT1)rxVyDf;T{WJaKF?*pKWKAtSB?M4W;*u!_-J!+ zSB?MaT)J1rJ8drRs`2dfdG627ciLRsRpZ&|I+FaaKjJ7kAb8H@DMmtajR5 z+*RY*>GQeYn(VZ>xU0rr?4@J3&-+B1i@R$4+soxU0sq)91PD_Xlk*?yB+c zuBF>t?6kSKtH!g_=ed`LJ8drRs_~aL)3Mv)N`jsNXPy5DYf+FaaK)N`jsL?$x<3we+FaaK ztLEeR+2+{qz z?yB+Z^!fbk_Xlk*?yB+EY^S?+wbSO}t{VTbg>=_VcG_IrRpYPkrMrHk)8^u?8qZFj zpZkW{PMeFnYJ6`jU2mt;=Hjjze_$;gyL~=Kw7Iye#*J&4?b!< zJAEAc{Xv_HyK4N4)9GFs>9o1HtH!g_=eaMhblP0pRpZ&|<9{;IX>)N`jc2EiXTLva zb8%OVXQz*QWv0{S;;tIcP9OiX?M|DEyJ|c;ef-ZCI&CiQs`2ZS>0a%1+FaaKhrnZSHE^k?)TMp-|N3W#!er% zzx^Jlxc%+-Np+r`KCah(4^$j?)%gSM_Xc-k`#n%`+*Rk<>Emu{zXvKVcK!W2e{d$< zq4s-#r+DtF^M|(69d5q|c#7k$I)7v#9lL!z#c@}iXQz+5d83Nst~!5oIo&O@RUCKK z`CG@*vD@c!P#kyF`PFzjN#c@}izjH3#vGFR7 zyXyS0-E{2s`8g<#yXyR1OX=>OuHv|>&fhbVj@>@bj~##W-0}U__x#@@*6FdIq zx#Rn<@A-Uo`nU%st2pkedpP9Mj9U&V1(ooAj*!B18JUe|H`+XJ9U3H$FK92pqii=%;zs|GM$Fbj6@!VDC+3Dlh@2fcOs`Kph zaqRb1T0UvENtmvFq>Gd3O3Z_WLTHyXrhUeH{CJ6~|q5 zo}E69{l1EeU4OsMv(v}1-&gUm>+jcjcKSH>`zk(m{rx)6P9Mj9U&V7*ooA z`zr2V?LQaQd3O5k_1N#LIPR+PvD3$~-&dQ9yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7 zAIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH> z`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5 z+*Rk<>Eqb%t2pke^GCm&j@>?<;<&5Mv(v}1-&b+mRp;61_r#SAa^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2 zf1K~V%^%;c;<&5Mv(v{v!1vgGaoknsALM&)bL{t39Cy`ucKWza@jbR*9Cy|EhiB8B z;CpQI6vth4o}E7Kk+mw0yXyS-V!B7CsyObd^N$Uudu+3c9gq`pR3}ytIo62 zZ?DIGU&V1(oj-X#{b!b{IPR+R6VvIQ7^&j8tIo62=W~B{rHbROI{)N+I(GZK55;j; zooA}0xAy(*5o z>O4DrKK~b%t2pke^X&BTr^l)|?yB>rchXI*RdL)^=bu|l_ob;Sj=Spo^TX+$->l-e ztIo62Z?DIGU&V1(oqu6G{R_KQ9Cy`ucKSSbdZ~)zt{NZv{jdFBx!+g6{zC5e)pp!r)-9Db;xU0_Z zpGkMaXcfm@b^eC!biLIoj=SpofrWH8PF8W;Rp;61x7TC8uj06?&L3P(e`vOfim(lbi<2P9Cy|Eo2Swp9j@ZItIi+YOn1xKDvrDA{H=58*zLDJ7yEr2 zch&jZcGKN{zKY|nI{)#dbR*MM9Cy`ucKUqoJGQDg?yB>5uB1CQU&V1(ooA=d^Y0p} z;<&5M-?g6Z?lV;!ch&iOX3~w0R&m@_=SR2GePXqWO4Dr+==ljj=SpoiQROMoUh`ztIm%vrF(R`isP<2|JX=6cKiGs6vth4o}E7K z@%bu_yXrhUef-IxDvrDA{K@rn?DlydisP<2KQWVz-9Db;xU0@Tv7PR-t5qC#)%hnE z(y`y?`4q=pb^fVdx~Dd(IPR+R?DTmq`+XJ1U3H$FK5lZSisP<2|MXhAXBMkC?yB?8 zPNh3FT*Yx$oj>#2gPw$o&VxoI(GYbisP<2e|k3^yL~*xaaWyxZYdqReLTf+ zSDk04k7K{D;<&5Me|I7syL~*xaaWyZr;q#InJSLE>iqX-(y`m;eJGB*>iiG3)BSL@ zisP<2&rYA`vfo#6+*Rk#_0qB1$5R}4)%hPSr~C126~|q5{>8C$?Dly+#c@}ie`zh< z%ZpVUchz}z`aGBYzKY|nI{%Z+bnN!=6vth4{-<;4UKy|AxU0^y)91NAJ72|dSDk04 zk7vKH;<&5MuaBf-w~wbd?yB>zuB3ZyzKY|nI{*4ax(h>99Cy|E3+w6F?eqC5j=Spo z8#C$N9IfKGtIofO4Drp38n;#c@}ie|Ih2=3*7cU3H$FKF_^0T*Yx$oxil1j@>@*Lvh?y=YKty?lEqb%t2pke^M4yl$8H}_aoknsch=JVeX)w;t~!5tDjmCho=@br#SAa z^X&9-2ZyUT?yB?b^zrQXRUCKKd3O4^BjZ&Zch&gV?|=0xx!+g6_J8DlUv2lj{{3(4 z^Ks{P+rNMA7k7@&)35XF^l|L>RUCKK`4^|ty);tAaaWyZr_Xa=Ua8`^tInUFPxq6F zDvrDAJUe}!&wgLUaaWyZr;mGOri$aPI?qlY|Fi8Xj=SnSJAM4m7pge!s`KlU>0a$s zaokns+3EBA*Osd|?yB>z&!%Iy&-+juch&g|JL!J0R>g5woquC7-J4TY9Cy`ucKUqo zjm;{KyXyQe&!&58u8QNXI)8CI-NoH1j=Spo+vn50vsA@#SDk04-(HXXzKY|nI{)rg z`puOpj=SpoujbQTnyBKqtIoeSl2@4EB__;`)a%I_0O-^>ErfqedL6S+duM= z6RPv<^l`oUDvrDA{DJm+gTHa8isP<2&rTnIQ~Uc?aokns56+}JG+M=RSDin!o$he^ z`{wx+$6a;)$U-`H`*@1ut~$?7A9wRc6~|q5{^)YLTV|^`?yB>*j-_L_&*z{x?yB>* zt);ttv5MoaI?qm@=Z*|laoknsM>f;lakh%%t~!6`T)Jc9RUCKK`D453*zNOkP#kyF zd3O4^yQix-?yB?mjHF|?&-+juch&jPm2~X(@f62hb)KC*j{UxhUMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q z#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR z>O4Dr9Q%D0$6a-voj#8JzKY|n8Xx=pugvCtU;XM>?)TMp-|PEV?DTQ$_f_1#+Rv{# z&rZL+9{YV27rXv`jgOr^j{UyceD3=Db)KC*j{Uxhk6nMi&a>0UvENtm+*Rk<>Eqb% zt2pke^X&9-?Dth1chz}z`Z)IcDlT^Y{W{N1AIE-Q#dBAkXQz*2zpvtA*Wa)6?DTQ$ z_fUMIQIK0E_VI>I?qlY$9`YMb61^br;lU5ui|3Y->>uR^l|L>RXlgqd3O3Z z_WLR>cK!W2&rTo5eqY7MuD@UBkA68FyM27@_?zR-`}AMm>wo{mP9Mj9U&XQCSKag3 z>Eqb%tGL+p_v<`6eH{CJ6(76)ew`orPC9n`_}K9`$DQ}-zrN?s&rTo5eqY6LSKag3 z>Eqb%tGL+p_v<`6eH{CJ70+FDo}E69{l1EeU4OsMA8+4#amTl-cW zIzKU;?un5qj=SnSJAFR)XIH8??yB=o&ZlFy&-+juchz}z`nadot2pke^PfAD?(;KM z9Cy`ucKSSja=VJ-t~&qpYPx3@syObd^UqGEJJqY=xU0^y)93SlVY!Oqt~$?7AAfqR zii=%;zs{fDNjJ4t#dBAke{M0|m!_&X?yB?852t&6vx?)cI?qnOy&n606&Jhyew}|| zJpBv1RXlgqd3O3dcY3LcilJX zZ=1ioQN?jrooAK9J5?Na)%ky}rDM0x=b$+5s`KphaqRb19Cy|E z4>!ZbZomDxD2}^oeC+gb?Dy5?;;uS>)o!}0&sTBWRp<9DrDM0x^C^zI>ip11x}mKq zj=SpoH7n_^ov-4!tIo62=X0~)S8?1`=dW8&$8H}_aokns_s^ueVYG_lt~!6icDmkb z6~|q5{=h=I8z-wc?yB?b^xNyP-&b+mRp$>br$01X#c@}iKRlN1@JN2@sQs`I1U={~Vq#c@}i|KvitdncEkAMsyObd^G~m(duFkU{Pl_!&Mx2)%jDK>DcY_ zb5I<2)%h>ZrDL~`r#SAa^QU*yvD?Q}9Cy|E=a$m3+s9KJchz}z`Z)IcDvrDA{C6kP zvD?Q}9Cy`ucKW#QovGrutImIaCLOzd-iPA2tIq#mJKYagt2pke^X&9_F8h5I$6a;) zTrVBFeLTf+SDpXSa=IVSR&m@_=U*I4$8MkJQyh2Id3O4^mlvxz?yB?b^zrQXRUCKK zd3O3ZcKa%hyXyQ;=hD40Ud3@&ooA=dbJ_2!IPR+R?DTQ$_f;Hs)%o?2bnN!=6vth4 z{?(OqugzC++*RjapGbFMsEXsRI)7n39lL!#KgDrZoquB{-J7FT9Cy|EH@DMmtX6T{ zRp;61^SR%etm3$<&R^`MW4F)yP#kyF`L~zTy)#?IaaWyZr_XcQ@2fcOs`KxzrQ2Mr z;<&5Mv(x9fmxil2?yB>bHq)`&=Y1%SyXyR}=hFRVyo%$lI?qm@=e~cwisP<2|G`qa zt?4R`yXyRJN7Av|=W|dTchz}z`nccES8?1`=l?K~?vF!N9Cy`ucKSS@{l1Fht~$?7 zAIE-Q#c@}iXQz+*(`psRU3LD?3+etcS;cWzo&Reu9lL!#2gPw$ooAPjTE; z=h^Aw*zc=2?yB>#>Y;-y&n60wYj*f&a>0UT{U0DaaWzcdLrGvp(>8M z>ioX-bRRua#c@}iXQ$8SXTPuFxU0@zvz_kR)hdp=>iowR(p@)M#c@}izrL65`i&}% zyXrhU{q}n7_f;Hs)%o67`rb|z$6a;)z*;(X`#hiGxU0^y)5jeguHv|>&a>0Uv)@;7 z+*Rk<>En)!S8?1`<72=7m78+EKj_8W?|((TY0rQE8#{g6x!v}BwYhVA9@Thu`Z)Ic zgEkj;)%X{u)4ep(X>)N`jc2FNb6;NRw7Iye#)N`jel<_-FxeuHWzo*cy{{y{J)v$w7Iye#<+Vh`ZvD3%xZ@&kF zHn+e1KH;Orv(v}*+V8=j&Ba|c{y_V^!QI$?4+d>6?yB+Z^l>+}--AJ$i@R$4!S;KD zJJfy;25m0xs_}=~?+xy7`#l)6xwxywA8EfgIClGkHWzo*cy{`@n>RXbF7B%FN0-yx zGTUi$aaWDMbu1mbeLhFDxwxyw-?o>!+FaaK2W>9ys`2dfaqRa8Z7%Mr@ehxsW4DiwHWzo*cy{_Y z_WOf27kAb8lWo6(W4}LWb8%OVXQz*2zdvYmaaWCJr;lU5KWKAtSB+<0UvENs5 z+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2 z&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UM zIQIK0j=SnSJAEAceHF)Db^hp=)3MvfQyh2Id3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69 z{l1Fht~x*RopkK>@f62hb)KC*j{UxhZesY+D?DYyg{ZYae) zN$x?jF0*OPe!uTKp7HzN___M3@8C23!^Ep}Eat;qb)KC*?jgR%_KV}LI{z@=d&9Bc zS8?1`=h^AwKFRmkesSDY=O39ZcY^P+;VF*0>O4Dr+@ou)IPR+RipHQa#wd+aokns|FKq%-9Dd#;<&5Mv(v}1-&b+mRp&q0OqaX;`0HxNAD%nD z|N35ke!0`fvENt2)vmu^^ZeZDGQeo+G@pdSDn9mrQEUkRvdTLd3O3d|DK^% z9Cy|Ed)CX{d#)A7U3LDxnR26}tvK$g^P}74KDOG5xU0^y(~sA4 zzpvuBtIo62$DJ5&#c@}iKe1cx(TlA(?yB?SOXVJ$ZpCp|oj*BJj@>>#NACE;bI12z z-}9fJ?DTPu&$r^ZtM2*i^zo;LT5-AS@7MWL>*YRut`*N+b$()|9J_r!NACE;bI12z z-}C2xV!Ir>eLTf+SKafUTqwtGA5U@IRp(Fl%AMY5#c@}iXQ$6|+3%}3?yB?b^l_6r ztvK$g^G~gndwQ`I$6a;)nW=JThFfvmRp-xamSeZi&yhR+@Z9nJ*Z2JM|H523cKdjW z-@JT%CXzWQ#^Ood3O4^ z@0@GJaaW!H?o2s$`@B!?_``F@_g~-h&+mKN<-Wh#isP=j=d;u2x$O5<9Cy|E3%zpe z_VE48?IPR+R?DTmq`+XJ1U3LD) zo8{Q=<0+22>ikdU%Dpt+isP<2&rYA`{`6uij=SnSJAFL+eHF)Db$)%M9J_sd?)bxV z$M;{~^Y{Pdm2$7lx8k^~?)k4yl)E(4isP<2e`&oOyL~=C#c@}ie{H55`+YpcaaWyx zeY@PoYAcSr>O4Drp8LjRD~`MB{N-LbcKf_f?)bxV$M;{~^Uv?i<#KP$w&J*}?)mKW zc`o~X6~|q5{_VALn~SYD?yB?b^m*=;;Z_`X)%h!%<=E}>J`~4Yb^cd#<=!1{#c@}i ze|NVW`+c6DJO1$8@%`8L{PTNnsod6dD~`MBp8uPXa_shbKE-iYooAruj06?&a>0UvENs5+*Rk<>Er&m+KS6vf4|QEX`$SoCtLB{ zRpBF=T1Lf z&;7m{u6F(XI?qlYcg=h&p1bP&wG-v`4YlI9tIqFRFZbbdtvK$g^FuS`*zfamc) zcYOc#z5e?*clx;NSIbk}b=$4(`5##*cf({Wp1bP&jlFU=ZnWaKtIo62=kwn*+lu3^ zI^P>B*V}2uaaWx`uvU)UKA(f)xU0^y)5jegZpCp|ooA2g0B zX~l6@ooA=db6;F(#c@}iXQz+<@kA?*yXrhUeLVYp6~|q5{wL?ky)@H`7NwD~`MB{A-KlUY}~kaaWyZr_bl!*lfjdSDpXG`EqZ}wc@y|&R-rccX_uJ$6a;) z&5PyUT582{SDk04AFt+Mz?ch&j#R?BTIwBop{&i`hz+;4lWIPR+Px!?ch|Em4I zy8M6CeqW9IUjO{ceLimg)`w20xcws^I-xqxP9N8sZ^dy}oj(x2H~5=}T5;S}=h^Aw zZ;3x|6~|q5{@_fxL!+%Y?yB>Lw#yxkKX0B-aoknsk1UiMjz4ca#c@}iXQz+5b)yx> zU3LEGa=F`PTXEb~=Wib?$8Mj`L2=ww=kHi6cjsa&j=SnSJAIxzGTe&et~x)mS?;d$ ztvK$g^LNjcJ2u{mim5p<=F4@J`~4Yb$)cE z9J_ry#c@}iXQz*2zpvuBtIprQUXI;9p5nNx&a>0UJviEm0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D z;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}S&;9=L zWbOCWf9utLUyb`--@kIFk7K{D;{F*wzv?_Y{dhh1`zkJX{rwuBJAEAceKmaT`ulaB zoj#8JzKYLXf4|PN)5o#jSMl6c=h^Aw*zc=2?yB?b^l|L>RUCKKd3O3Z_WLR>cm4f3 z&rTo5eqY6NSDk04k7K{D;&RvDuk-BmaqRb1Ja^T3cKSH>`zkJX{rx)6P9Mj9U&V7* zooAcm4f3&rTo5eqY7suD@UBN4`~# z-9A2d{NcFsKKO4Dr+@ou)IPR+RGf6|ch&jNo-6mcnN}Qk)p>UMJb!Y#6~|q5{;Ab+PcO9MxU0@T zGgr@~Za@CIERMTseD3sd?Dy4hc~_mk zX1Cn67h7@MRp<9Dm1DQh^C^zI>ip11xuLCA9Cy|E>sHELKi`Vut~$?7pU=&HU&V1( zoxfqd9J_ry#c@}i-#=6CrqNa$ch&iuw#)TaTXEb~=MOBDyLqw|$6a-voqoKY`+XJ1 zU3LE8a`{8EtvK$g^M}XE9o}ihaaWx`vQ}<*u@%Q%b^g|=az}?-aoknsk8YN`?R+ba zyXySybLH6Y$6uHGeH?ey`8#&Y-FdMU$6a;)qf6yRrdx5`Rp;61^SSTZYQ=F^oxgjf z+_Cvq9Cy`ucKSU3o}pG8ch&iO*2~>{t`)~!b^gAYa-*ZIIPR+Rqub>^w%Us0t~&qm zg>v^#w&J*}&OgvA_rOLgj=SnSJN0UofvP$aaWx`v0LuZi>)~Bs`KMZGNFn`znsR>O4Dr+~iIx zj=SpoQ)}g(UTnp2SDk-ms@$33RvdTL`7@j4*zNOkP#kyF`7g|sW4Di|IPR+RXLrl7 z+s9KJch&i4m&&o*$5R}4)p>UMIQIK0j=Spow7<=E}>`6-UO>ilan zJ`~4Yb^guea&OJH;<&5Mv(x9f?Dth1ch&i~*UD`!w&J*}&a>0!xmSi;aoknsuWXiM zx6k`f9Cy|EU(Jqc|OH)SDk-vsod6dD~`MB{BK6evD@eQ6vth4 zo}E7Kck`_{?yB>@pD6c-p;jDs)p>UMJfHo(isP<2&rTo5eqY6LSDk04kNe|lD~`MB z{GS%e{duw#$6a;)FTHZ?_W2wX$6a-voj#8JzKY|nI{(+Pa_si;6vth4erK)R-xgbO z+*Rk_pDM?GpXXB?chz}z`Z)IcDvrDA{MET~?Dp{#$6a-voj#8JzKY|nI={PA?t|%8 z9Cy|D-08>bx!+gAUM@p|s}RUCKK`QBLh z-cBoyyXyRbwQ}tCc|OH)SDk04k2^TrisP<2&rToDeqY6LSDk04k2^BnisP;tpZoo9 z{<-%1>hizWeqW9IUjP0#clx*syYYYj+b`|{pQm5v+3Dlh@2fcOs`D>Qm;2F3D~`MB zJUe}!`{GI~j=SnSJAM3*Ct7jbRp;610!`L8Uu;<&5MzdBov-9GO_aoknsFYT23`C2QE zyXyRFi{)OQYQ=F^ooA=d=ibijR~%Uzjh#c@}ie`l!NJL|1D?yB?b^yBs1 z@2fcOs`KphalhVf#c@}ie{Z$i)&F7K-G2jcezfAdhM;qtB;&rTnIOZ<5c z8ZPgu@dszh9UAR4T;5gV55?~d&pjM}-h+nAyK4NA_`Sig+aEMs-c{q->EmwQ=rmm3 zRpXB?m%DAY({OoLjlX@Y9J_r!M>bsERpakiD|hE&r{VIh8voI$awEf?hReHZ{K#gx zyUuqSF7K-Gch8kOHr{EtysO3^+bzd#pTB?EaCujaXQz+5ce>MXc~_0UZ=@W%ecmS< zF7K-Gqbudu?c=lI@~#@sP9Mj9f6#DwSB<}ay&SuJd^TL(RpZ&|zv(v}1-ybww-c{q->Eqb%4;n7-s`2dfaqRa8 z4VQP-cy{_Y_WOf|%e!hkJAEAc{XxU!T{WJaK92qVpyBea8qZE2$9{j%aCujaXQz*2 zzdvZWysO5u)5o#jA2eLvRpZ&|`-6tdyJ|c; zeH{D!LBr);HJ+V5j{W|i;qtB;&rTo5et*z#c~^~Rr;lU5KWMnTtH!g_$FbiZG+f?Q zUMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhRUCKKd3O3Z_WLT1yXySWFO_4rkEb~9s`KphaqRb19Cy`ucKSH>`znsR>O4Dr z9Q%D0$6a-PEk}h_t<`M z+*RivnJssM@3G-2j=SnSJAK@vYppo$s`KNE&X;?9 zt`)~!b)KDmyq^1g6~|q5{?x_tpI&OkaaWz6m@fCkNGp!J>O4DrKKExE)W?FIFRp;61^Zd!}RvdTL`KMOPJ-yJ1UMeE!cbx8k^~&a>0UpB-z(aaWx`yHjpztrf>zb^h7Ka$lTk#c@}i zXQ$8Se{QoC$6a-voj(3w=2~&wRp*}{FZcXzD~`MBJUe}!KfTn7oZpDB0KXe*Ap>YjhocDdeaD~`MB z{DFmXH&3?WxU0^y)92^DWuq0ByZ(NiKe$}(&}=K7yXySmv2urZT5;S}=Z~zF8(wV1 zaaWzcb*kLa;Z_`X)%l~FU3LDB-EwzcY{hX`o&V@k zxsmBs9Cy`ucKUqoyS7?!+*RlAUMY8Mz7@w^b)KC*&%bA=6~|q5{+{)6_nvFTaaWzc zZ>HSnXe*Ap>ip<-xsR>3;<&5Me|(|b{gbUY?yB<-^vXT3(Td})I?ql&UeEo$isP<2 ze|)U`@tsy2ch&i^wQ>(Fw&J*}&Oba=?i0hUIPR+R?DXUH-0!P6?yB?b^l>M~TXEb~ z=TGdGd-P%}j=Spo_)@vYrdx5`Rp(ERlw-Hg&yhR+@Z9nJ*Z2JACp&%Ih2FT5;S}=h^A=T=x4aj=SnSJAK^bPAiVP>ikn{<(^(_#c@}i ze`c!Onc-F(ch&hbo8{Q;^K;~mKRkDQ|Mflp{J$_)j@>?<;<&5s`Db^_vD?Q}9Cy|E zXP3&c+s9KJchz}z`Z)IcDlT{Z{W|~ciE`}r@f6Qpb)KC*?mOpNaoknszdKWo-9GP= zJO1$8@%`8L{PX+XcDe7bw&J*}?)mKWc`o~X6~|q5{z9)DyL~*xaaW!H!E(7D&bH#X ztIoeLR*v01&!;%wEtGzr0fJmHAd2chx=r z)roSKhFWplRp&3Qmt(ij=chRCs`IbSlw-e-r#SAa^RI80+gNSIaaWyZr_XcWm~6#y zSDnAyE5~l1_sJc9c<%WA>wEtBy}4ZOt=U!_chx@87aqZpXXB?chz}z`nccCx8k^~&i{U*+#iNoaokns+3E9q_WLT1yXrhUeH{CJ z6~|q5o}E7KkE^Y?-1YbC{GS%e{duw#&s}x?FTHZ?_W2wX$6a-voj#8JzKY|nI{(+P za_si;6vth4erK)R-xgbO+*Rk_pDOpC!>u^(s`Kph`P}UHRUCKK`Kxo~*zMyfj=SnS zJAEAceHE9x{(ha`T`Kp%bSs{_YJBeW>uR^l{hBx8k|0&R;uGZr@NV zj=SpozV&h+KG%xlt~$?7pU=;JU&V1(oxg6o-1V!iIPR+RA6Y1O!(=OtyXyRny>d5h zwBop{&a=~x*K@zG;<&5M_r}Wic3N@VRp$?^m1DQh^C^zI>O4Dr+`-{i9Cy`ucKUes z`znsR>O4Dr+>!BC9Cy|D-0y$mzt(b_Daokns+3EA#7gt(w+*Rk<>EnMq(Td})I?qlY&wgLUaaWyZ zr;mGSrWMCsb)KC*{-@imxZL&k>pVMs{LdCz@!VDC*C)%p+-t>gSDk04&+}hdZpCp| zoqu(<9J_tqhvK-a&R^Oo_w%(@9Cy|E*A~mYKGlljt~$?7pU=Iq*^0|uf4|QE;(WO` z=34RGRp&2{m%F^%isP<2|K`PVZ!NXrxU0^y(~sA4zpvuBtIof@Rep1&6~|q5{+ILR zu1vJzxU0^;GgR)K^;R5r)p>UM@p|s}RUCKK`CpHg|Mhk&j=Spod#mNP7Fu!KRp);* zS?;&JRvdTL_}uS*?Z4N4U;X+swcl6czSlp$a;J~mzxAOLDsKPChfb)@v(v}*=38;x zRp$@H?+yOup;jDs)p>UM_*>%7Tg7o#oj*8J?$Br}j=Spoq3v>qipev<&KTF;<&5MAKNX*Zl9ln;<&5Mv(v}jJKc)o zt~!6;NI7=`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D z;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhEqb%54v6Z{dm4!-|PEh?(}i&_XiEfejlGT&u6EPW4}LWxV)>zv(v}1-ybww z-c{q->Eqb%4;n7-s`2dfaqRa84VQP-cy{_Y_WOf|%e!hkJAEAc{XxU!T{WJaK92qV zpyBea8qZE2$9{j%aCujaXQz*2zdvZWysO5u)5o#jA2eLvRpZ&|`-6tdyK4N=FO_4rkI#n7yJ|c;eH{D!LBr);HJ+V5j{W|i;qtB; z&rTo5et*z#c~^}e`Bpi0`}l0QysO5u)5o#jA2eLvRpZ&|`-6tdyK4OL_}+^zk1v*cY^u|6c~^};Ib81K zW~brut{VTT^W`3&>oi>6RpZ&|^Yj0g-A=>hT{ZsH#d4os>NH&5RpTe7%RMpDX}G+r z#d%bkYHyJ|c;ef-(6PQ&G0 zHU8{Qxv8~I!{uEy{@KNHU!3YRT;5gVpBpas+-9fY@~#@sPM@FuU*iqk? za_{qd81F-I+*Rk<>Er%B+lu3^I)8Pn+|`{{9Cy|Ef2@^bx6kLGIPR+RyHn-Z@8c_be-9Db;xU0_ZpDB0KXe*Ap>ikXH z<$9~FIPR+R2NufRJlTrlt~$?7KVHxMzKY|nI)8Av{Gr)a9Cy|E!(-(R@3i8$tIi); zD>uB@isP<2f9q7aqrDj=Spo9lPc3yx5B4 zt~&qGrE(+FtvK$g^X&Bb+;?rY;<&5M-@Q`q*nBIFyXrhUeV%{MP%DnR>ij+H$%@oaokns+3Dj> zjJM*rtInU;E%)ffRvdTL`SGQ4k4?AYxU0^e94W_cpPz%`xU0^8YNZ_eeLTf+SDk04 zk2^KgisP<2e`>uP`+eSr;<&5MPt25Kw~wbd?yB=oY?ouVkEb~9s`F1Slw-G#r#SAa z^QU{|PH(j0xU0^y)91PD_f;Hs)p>UMxXGPX9Cy|Er`F0nz1WK5t~&qBRJk+5tvK$g z^Jg~8vD@e8pg8WT^Iw=N$8H}_aokns&+e9Ew~wbd?yB?8E|p`qkEb~9s`KphaqRb1 z9Cy|EZ%>qCw~wbd?yB?b^l{%g*NWq=I{)37a_shbABy9yI{&@xa^GKV#c@}iXQ$6| z+3%}3?yB<_dga*d<0+22>iiFu%l&Y+6~|q5{)Mq}?Dly+#c@}iXQz*Qaj_N0U3H$F zKA!!)isP<2&rTo5eqY6LSDpXKT)CIVTXEb~=h^A=T=x4aj=SnSJAEAceHF)Db$)%M z9J_ry#c@}ie|e?cEAy>5?yB>zPL#Ve)QaP-I)7=s9J_r!KgDrZoquhn-0P#QIPR+R zuWy&zSZ&2|SDk04&*y$)vK7Z&b^da%9J_tqhvK-a&cC@_?ycEY9Cy`ucKSS*{l1Fh zt~&qrTDi@|RvdTLd3O3d_sVc9j=SpomCbVO_IV$QEnJk--_d|I{*8La(@_V#c@}iXQ$8e z+3%}3?yB?b^l|L>RUCKKd3O4^Kd!doxU0_pX`$SoCtGpcRpO4DrK0o_?6~|q5{<`gQ*RQtXxU0^8WTD&*ldU-J zs`EGY%H6opisP<2&rUyH&;7oN@br#SAa^X&9-2Zvj6 z+*Rk<>Eqe&t2pke^X&9-N5)%m+*RXqzyGyYYrn64{j0U#SL43dzyHmhKJLP9{NMlf zi@U(*>DPI7`Z)IcDvrDA{0r0Nel*gGHLMx8D>iqg-xtDvbIPR+R?DTp5 zE6c4o?yB>z&X!}h&-+juch&hzJLP`9){5hw+*Rj) zalYIebFDb;s`Hn}%U#}W#c@}ifAeCwx0YIQ+*RlQ_jI|pM_O^*Rp;N{Dz~}PisP<2 z|I7JuS0-9<+*Rk_87lYAdMl2*>O4FBcs=*~DvrDAJUe~dueV!q+*Rk_TP?S>(2C=( zI?qm@=l{0XisP;tpZoo<{JHk~>R120_WNqw_xk5o?(}i{w?1@2#qE#ZC)Ig&`ncYF zD~`MB{DJtr!QVX8isP<2&rTnIOZ<7OIPR+R2WQG18g0dKSDin!UG8xFdGmaVO4Dro;xz!isP<2KeAcwuJf%p?yB>5&y_ni-iqU{I)7}p9J_sf4vOQhI?qlYckgs7 zj=SpoeIw=A?ejhq$6a-Pbfp}-eLTf+SDk04k7K{D;<&5M-@jgt-9Db;xU0^y)5o#j zS8?1`=O5fI$8H}_aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>ii>P<=E}x zDUQ49JUe|H`+XJ1U3LCc>{oE?_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxh zRUCKK zd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62 z$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8J zzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1 zchz}z`Z)IcDvrBqeD3$Zdam~S>eps!zpuu9ukT;E)5o#jS8@N0pI>#JoqoKY`+XJ1 zT{S-U`8f9bYPh_s&a=Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDA zJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61 zUMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxhueaj3tImJ+T)EH9 zwBop{&a>0!`IFnNIPR+RPpy`FdZ87^U3LDM$#Q3UtvK$g^X&Bb{GVTL#c@}iXQz)p zJJyQht~!5qr`*(9D~`MB{IiSYzBtv2Qy<*(`&aJtaqRa84aa^TpEdsd z_`SuwztL&9ysO5u)5rgPw$pHVSB<|Kzqk0SJDrBhyK4MD*2=Nl=Y6u_@~#@sP9Mi^ zf6#DwSB?K*GhOcX!&k%QUESN~P9Mj9f6)J^{eJxU>Gi$7f9FmgcP+nfYPf55<3FqB z`TOGc7RPRX&~SNIjUO5*H?-AhxV)>zU$;{3`uR@7D++|84nhReHZJUe}U?proG4VQP- z_=C&k4$XENF7K-GhsVkt-sv=4-c{p|td$#H>@-~7RpW1+DtC0a({OoLjX%0s?zZ!t zhReHZ{Oxn)*zfb_kPVl2)%ZJh%iVdg({OoLjsNIUxsmBk!{uEyo}E6Q`>w4{!{uEy z{_d4>$L2c?mv_~8cKSU3o}o^|zv(xAA|AVughReHZ{PD4J$9Fmnmv`0p zv9)p!Ep{3%@2c?+PnG+`aHrw&t{TrypP&De=Q|CTchz`y`uG#$orcT1YW#`aa*tl@ zG+f?QzpByR2Zl9kc8!qpv@$B?*?Dhu@mv_~8cKWzeL!E}pyK4NY z^>Xa?d7o^!ysO4f%#>rdkI#n7yK4Ls+vV8pxB zX}G+r#Ha>!8!qpv@$B?*-#OQ5xV)>ze|M%FyM5j#8!qpv@!#7n_x;sQ!{uEy zo}E6=WxqdYxV)>zU+9%%w~x<;%e!j)50=aQaJJKMc~^~pVXPdxeV(5Umv_~8cKWy% z7ds7?chz`y`gr#HgNDnyYCJoA9Q*x2!{uEy{wH(gUK;N-T;5gV+3E9K_WOf|%e!hk zJAEAc{XxU!T{V7vq#V0_d^TL(RpVb?Dfh~Jr{VIh8vp7HJRjel*X-0P#AhReHZ{OjB0HdZ?gmv_~8cKUqoHzqp`mv`0p%e`{!_IaOdxV)>z zzqwrQt=Ue)vD0vQSB+<<&vUN~cN#A5s_|Dg%dy+% zeX`;5t{VTVxpME0cN#A5s`2dfc`p0?LBr);HU7P&a$D1#hReHZ{BK6evD@eQ*>HJR zjc2Ei``vt};qtB;|NDt@e;DdCT;5gV+3E9q_WOf|%e!hkJAEAc{XxU!T{WJaKJJgJ zorcT1YW$xT%Kdq=({OoLjsHup9J_r!M>bsERpZ&|sLDsmv`0pk1UkC zVY1V3c~_0Uu~+WKjZVYmT{WJaK0o(Ovz>;^yJ~!ItXyxW({OoLjX$tfj@>?=BO5O7 zs`2dfaR-Mx4VQP-cy{`D_WOf|%e!hkJAK@d@lM0#UESN~e*dda)_!08+DB@?uf~0^ z|M!+AC0u)xU0^y)91M_uC(H~tIo62 z$NzYu6~|q5o}E6P{l1Fht~$?7ANSHsD~`MBJUe~-Pq$lfx$E!Od3O5vpDncFxvS2v zPnLVR*NWq=I?qm@=fAStisP<2|LSZxcKf^!#c@}izqC{C=WDGv?yB>zEtY$Isujmw zb)KC*pL=7o6_>mIex3it`EqZ}wc@#}&R-rccX_uJ$6a;)&5PyUT582{SDk04AFm&M zd!!Y|U3LELt#X?ytvK$g^S_)gcV(g#$6a;)ouP8?theI0tIo62kJod*uj06?&a>0U z{d&6<$6a;)z14DC3#~Zrs`KphdH!#EtvK$g@wwms@_()UzWSB>Yrn6?eXoCh18~n{ftvK$g^X&BTx5S^fipyPpzs?_=DR*eJ z70+FD{?K;0!|~_M^C^zI>im&~a_si;6vth4o}E7K){Ryich&i$%jIsHZN+g{oxgpo z-0eH9IPR+RcdV7WbFmf2U3H$Fe!QOheHF)Db$(>C{9Wf;aokns@184nY`hi6U3LE0 zZaH@Qe13}Kt~$?7A9wF`D~`MB{Cy+k*zNN^x#JJd9p8U_&;R}yT`9+IA5U@IRrh>$ z`Z)IcDvrDA{Qc|Y*zMzU#~+?MzW@53pP!vRj{Uxh*f$ ze0KUc_WLT1yXv0LP9Mj9U&V1(ooARUCKKd3O3Z_WLT1yXrhUeH{CJ6_>mI zew}Bhk7K{D;<>BNv(v}1-&b+D>+jcjcKSH>`zoHh>O4Dr9Q%D0$6a-voj#8JzKY9T zf4|PN)5o#jSMj;)@7H;D`Z)IcDn57p{W{N1AIE-Q#dBAkXQz*2zpvuBtIo62$Fbj6 zak=a7*LimOIQIK0K6m~7I?qlY$9`YM=dQnB=h^Aw*zc=&?yB?b^l|L>Rb1}+`*oh3 zK92pqiqBntzs|GM$Fbj6@!VDC+3Dlh@2j}n_4n&MJAEAceHG7Lb)KC*j{Uxh%UyrJ z&a>0UvENtmx$E!Od3O3Z_WLTHyJ~#y_rEe!`~5-JYrp>$b!gA;U%AuAvELsw+&|;* z3qET+JN2Mw2Z)%cNbm1DP$&xXspYCJoA9Q*x2!{uEyo}E69 z{r;fg@~#@sP9Mj9f6#DwSB+<GS-_?M}nxT{Zrx)pAcSbQ&)2s`1ZEmOInyG+f?Q;d0Myb{a14s`2df z`T754uG4UNSB-yuyxjA`znsR>ih?r>2kLpe_a;GT{S-U`8f9bYPh_s&R?@z?%Ip3IPR+R`il&p<*uJ^#c@}iXQ$8SX1}lExU0_JuwIVcKAz&ZtIqGA zDRr}a;!>u^(s`E!T%iVUq6~|q5{`R?Y?DpfY%l$r% zyXyQMyXEe@*oxz>I{(q7awF5NIPR+R?DYBEcWt%exU0_Jy;APjd@GK->O4Dro`26! zD~`MB{5|XC?mgFvElj}x8k^~&Y##V_vpn|9Cy|E@uhN)O}FB>tInSsDaUS~pM&DKtIo62 z$2~sZisP<2&rTnIYN!>*U3LD{dO3Fcybr~3SDl}jDaURfPjTE;=bzXv$8H}_aokns zpIj)%ZXZu^+*RjK_sX5#XvJ|?ooA=dbJ_2!IPR+R?DTPyJFPhGs`F2+m3w-z6~|q5 z{+X$AXNFsG+*Rk#Y?foU&(A?|+*RklFjtP$6a;)yEEn3?ejhq$6a;)d)wu{ zzuJo9t~$?7pXajQS8?1`=P&fivD?Q}9Cy|EA1s&q;cP38yXyQ4W98WG^L&cqt~$?7 zANS&7D~`MBJUe|n`+XJ1U3H$FK92pqisP<2|C70LFO9e2xU0^y)91PD_f;Hs)p>UM zIQIK0j=Spo`bar;`*@1ut~&qnO1W3&TXEb~=U<&DcWI~<$6a;)(t0^|`+RJ`~4Yb^cd#<=!1{ z#c@}iXQ$6|+3%}3?yB?eEtT7vZpCp|o&U{9Id=OzpW?Wy&a>0U{cgS$$6a;)_Y>v* zFw~0Ut~$?7pXamRS8?1`=h^Aw*zc=2?yB?b^l^V&ZN+g{o&VE9xj#>~;<&5M|D{)s z-9Dd#;<&5Mv(v}1-&b+mRp$%@o!{uFd zo_#*D#*J1Schz}z`tf@1_f;Hs)%o67`QA<|j=Spofwgk%_IWO4Dr z{EsJEaokns+3Dli@2fcOs`KphaWBoZ;<&5Mv(v}_bh{PDU3H$FKK^G5tvK$g^Xrr4 zUhcKxxU0^y)93lGEVtsgtIoeVTaMj6??Z9iRp&45l>7NwD~`MB{A-KlUY}~kaaWyZ zr_bl!*lfjdSDpXG`EqZ}wc@y|&R-rccX_uJ$6a;)&5PyUT582{SDk04AFtywaaWx`vQUoQKAz&ZtIo62$KAToisP<2e{{LrZL_U7?yB>*kCnT9rxnLt zb^eaEa(6Da;<&5Mv(t~)bHA_RxU0^OY?i<4d@GK->ipev<&KTF;<&5MAKNX*ZlBLj zaokns+3Dl%oo>Z(SDn9aq#V0_-iPA2tIm(Elw-G#r#SAa^X&9-?Dth1ch&j(*UPcn z$5R}4)p>UMIQIK0j=SpogWKiU?c*tqyXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q z#c@}ie`Ks2yL~*xaaWyZr;lU5uj06?&Yz0?3Xc80isP<2KkUMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5 zo}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`Kph zaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5 zuj06?&a>0UvENs5+*Rk<>Eqb%t2pke@wwkWH(&dG^~)2r-&fs3IHC*0R=h^4u*zc=2?yB?b^l|L>RUCKKd3O3Z_WLT1 zyXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns z+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY z$9`YMaaWx``lWL0_VE0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1ch&jh@x2#! ze7hCLU3H$FKK>!Tul9@Mt~&p4eDB4v-&b+mRp;61<37pv)qZi@Rp%d>Eq8+NtKlh* zyXrhUecYpKtvK$g^W%%<9-C^#aaWx`Ib81KW-E@n>inn9mwSA!6~|q5o}GTYp8I_j z$6a;))W!0jUTVd0SDl}jF89PpD~`MB{1aQ{KC{w_UM__JfJIPR+RXLrg?t+nE~tIj{WSni8ctvK$g^Un>Jdv3E8$6a-v zoqoKY`+XJ1U3LEX@$%2_w&J*}&a=~x*K@zG;<&5E=YIdo`)j{H=xep#|FXJ&&+lKk z)5o#jA2b~MeSFsV_v7~#$8LYnaCujaXQz+*`)sG-@~#?xHGXgLS9dxMmv`0pf2@^b zx6k`z!{uEyo}E69{r;fg@~#^H!DhPL?T4?1%e%U_&z(Mw{r;e@)qX$z{Pg->-@kLG zkGq!NH#OWfyYZh@^Zb2F<=E}xv*Gft8b35rZfL91aCujaziy@6_4A#E%e!hkJAFPk z`~5+~=N+->JO4VQP-_}k~ovES##}r{VIh8voIyawF57hReHZ zJUe|p_g!0^hReHZ{M{?%j?H%(F7K-G?DTp5Jwu&_%e!j)J?rJ}J=bZtysO6FH&bqO zw9{~TSB)RtF88t3PQ&G0HU8rZcj_-6DF7K-GV{7FeTI@7j-c{oto+|f=;ZDQlT{WJaK0p5_&vzOw@2c_a^zkRg zI}Mk2)%X*;`F;kA+K0X^R@2c@nY?ouVkI#n7yK4NC3+34D zkI#n7yK4NiOXb+@ zGNFn`-6tdyJ|c;eH{D!LBr);HGX}h9J_sdHeB9S<6mAW_sV>y;qtB;|LR1!OGBN8 z%e!j)rS)>`_WAtTaCujae{H7R>!Y29%e!j)>)YivRyz%schz`y`h4y;COZw6ch&gI zy>jgKd7o^!ysO5)xm@n8*-pddT{WJaKF?*pKWMnTtH!^*R&H~#({OoLjc2FNbFU0{ z8ZPgu@mDs>vD@c;vf=Ws8vm=ga_^3J8ZPgu@$B?@F8lpK!{uEy{=KDgThpC}%e!j) zZ$`?o+voY&aCujaXQz+*-F&Cv@~#^H`-yUY80s`!-c{q->GOQ{`-6tdyJ|c;eH{D! zLBr);HJ+V5?vJaThReHZ{GS%e{duy}aCuja|4XkNyL~=KHeB9S?pW>j z2mM{`_s^-v_Wbw1xzop8*o}V=t%kc0p9h~co}E69{r;fg@~#^H!gRSGjdU6=@2c_a z^m*=!E1ibRyJ|c;ef*CnIt`b1)p&OLc=r2)hReHZJUe~dOEaB@%e!hkJAM35w>u4& zchz`y`uLwMbQ&)2s`2ZSHJRjlZ;0 z?&oWrhReHZ{A-KlUZ3hTT;5gV+3EASH#R#Bmv`0pUz{)Z#$2c2@~#?xdA!`^-A=>h zT{ZsAi{;*0>NH&5RpZ&|^Ygzw(rLK7tH!^*Rc>>o({OoLjsN9*xhoT$hReHZ{5wPC z-dXQ7T;5gV+3EB1zdO@uxV)>zv(v}_db`tbc~^~pZ?)XkLZ{*Kt{TrypXdL!*J-%C zt9$$0@Bfb{Yrn7l>qlz8uf~0^|NWRdecb-|Jy3D`vln z@f62hb)KC*?$(V~9Cy|Eqs!%Pn{CB$SDn9otlaH8tvK$g^LMP3yK}J>$6a-voqoKY z`+XJ1U3GqBv;1A>TXEb~=kJ~?cWk^B$6a;)*lsy?`+Rim5p z<=E}>KDpx$&mG@?eb4{?7+opHZXZu^+*S8{cKSH>`znsR>iqrd<=E}xbH^W^JHG$= zo}ZtcK92pqisP=j=Rdezj@>>!cl_bGUMIQIK0j=SnSJAEAceHE9x{(hZjr;lU5uj09@&a>0UvENs5x$E!O zd3O3Z_WLTHyXrhUeH{CJ6~|q5o}E69{l1FJU4OsMv(v}1-&gUu>+jcjcKSH>`zk(n z{rx)6P9Mj9U&V7*ooAj-1YbCJUe|H`+XJ9U3H$FK92pqipyPpzs|GM$Fbj6@ww~o*LimOIQIK0p1W#% z?)U%4R_*uIe_g5lz8d$v{`sFfeH{CJ75C5h`=UC}PCs7H{l1Fht{R^^eH{CJHC*0R z=h^Aw*zc=2?yB?b^l|L>RUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7 zAIE-Q#c@}iXQz*2zpvuBtIq%beA{1a-SxTe`EE!Jg|GtoV?v;Y2yhE(3@yQ=oYWMh zHIO!@oXxRxq>(RuY3WoWOCybZY3ZEZy6x^hx%6eEBaJLgHL|MR)=EoB2Rb#7f=fWS zp#}Hf$o(@@muXsezVGK*pY{B1{JDB-KP#{GTW+jP*Jgj%>Eqb%t2pke^X&9-?Dth1 zchz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5M zAN_JVcKdjW?<;<&5M zv(v}1-&b+mRp;61UMIQIK0j=Spo3BLC>e`33eEk}d_t<`M+*RivnN4?+@3GBO9Cy`ucKWzS z*Qz+~s`KNE=^mS^;<&5MpBhegYO{*tt~&qe3+W!8tKzt;&a=~Rug890#c@}iKYc0v zXO^ls?yB<>)9IcVsp7b+&a>0!bANWFisP<2|Kxl+_WQgK#c@}iXQz)lvtGq0!`IFmK9Cy|Er&iNFy->w*SDk-mGTqr;6~|q5o}E6Q{|n1i9Cy`u zcKY~pV^th?)%kNf>894IIPR+R&n~9>(o_}4U3LDs;dIY!R&m@_=h^AE*JHo0;<&5M zKR=%S`Q0jxyXyRZx|D8usfy#S8Xx=p|Ng(`eqTNRx!muo?Y`Iduh{3~*zc=2cKfRH zAGF_F9Q%D0$6a-voj&fbvsD~-)%j~<>8|Zmaokns|F)Kn-9Dd#;<&5Mv(v}1-&b+m zRp6~|q5 z{-aCjMy9Jc?yB?b^!eO(Z&h*JRp;+nNq2m{isP<2&rYA`-#b*raaWzccRk&G=c_pG zs`K~Hq#GTr;<&5Mk8Y>?*lHEWU3LEB3+W!1tm3$<&Og{o_uxhq$6a-voql^g_WLT1 zyXyRjvGgZ)syObd^J8o29$Kv8xU0@TJeBT~!&Mx2)p>UM?e*C2t2pke^N-A>KRI5- zaaWx`xts3MOH~|q)%o$IbdOC}aoknsPmQEwx8MF;?DuipRp;61;~t-{;<&5Mv(v|) z9;)KFtInTZPseVb_n|oMs`C>w>DcY#DUQ49{1e;h*zMyfj=SpolMCtC?c*tqyXyRz zUb-_IRUCKKd3O3dm;Jtq?<;<&5MKf9EU-9Db;xU0^y)5o#jS8?1` z=f6FXj@>?<;<&5Mv(v}1-&b+mRp-AmlaAd!p5nNx&VP41-S<|jIPR+R?DTmq`+XJ1 zU3LCqFCDvmJjHQWo&WxFx*yC|aoknsUl>crZlC8<9Cy|EAFic)aj}Zyt~$?7pXajQ zS8?1`=YO=Bj{QEK;<&5MzciQbUMc=r1$j=Spo`bau< z`*@1ut~&q9O1f9)t2pke^RG>$yF66IaaWzcyq=ETKA)fBxU0^;K9lZ^(JGF+>iiqq z={8oYIPR+R?DYBEZ%$Tm+*RkV^wP20=Y1%SyXyQ~%jw>pt>U<=&a>0!x$O5<9Cy|E zch=HvE>>~eRp;61^W3Y$RUCKK`Kz1h*zNN^6vth4{uguUemP#naaWyZr_XcQ@2fcO zs`KwJrQ4dW;<&5M|7s*1yM3Ndaokns+3DkcGhfAVSDpXuM7rM%RdL)^=h^A=eD?b) zj=SnSJAEAceHF)Db)KC*?)R%z9Cy|EKP;sC<75@bU3LCXy>#sM`5Y9-U3H$FK92pq zisP<2|L3uE?Dp{#$6a-PXD!`d7OOb!s`DRArDM0x^C^zI>O4Dr9Q%D0$6a;)+FUwz z`*@1ut~$?7AIE-Q#c@}i-(5<_ZXZu^+*RXapWj}O{l3~<+*Rk<=i{!Muj06?&R;)~ zZr@N9$6a-P-+HEqe&t2pke^X&9-N5-o-?yB*z-~YF78syObd^X&BTKi;n5xU0^y)5rg0p^D?KI=?=d?v-8@$6a-voj%Whb-9Y; zt~&qPY&v%Pybr~3SDnASlkTT$RUCKK`PUcIy)jkAaaWyZr_bl!*sS8XtIq%ILb^BS zsyObd^H;{xUD>VTxU0^;bt&E3OH~|q)p>UM?e*C2t2pke^Y3h>-(0EUxU0_pd_LXP zi7Jk}>ioMy>E2zh;<&5Mv(s;{$9`YMaaWyZr;mGYyNctkI{*G^x~+vOj=SnSJAI!2 z>s}SdT{S-T`~UjC=YC)P=KqrWeYM^9`sY{d^l|&QK6FyW?H~EjN!59F`ncYF6~|q5 z{y_V^!QVPm#c@}iXQz+9t^IwgIPR+R2WQe98m;2EtIi+VPItKdee-;ZTjHP3@kEb~9 zs`KphaqRb19Cy|E(`~1MW52KBxU0^y)5o#jS8?1`=h^Aw*zc=2?yB?b^l|L>RUCKK zd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62 z$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8J zzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1 zchz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5M zv(v}1-&b+mRpVp7|IO*#@2medlKXwN-S_(b6+3+#`+XJn_xAIv&a=~Rug890#l^0_ zU*lt^k7K{DHlMrxew}Bhk7K{D;$zp}uk-BmaqRb1Ja^T3cKSH>`znsR>O4Dr9Q%D0 z$6a-voj#8JzKV-of4|PN)5o#jSMl6c=h^Aw*zc>j*!B18JUe|H`+XJ9U3H$FK92pq zii=%;zs|GM$Fbj6@!VDC+3Dlh@2j}j_4n&MJAEAceHG7Lb)KC*j{Uxhi(P-e&a>0U zvENtmvFq>G`J-P>$8H}VJO1Xl^FIC8_xj&IvD3$~-&b+$_f_|NcKSH>`zkJW{rx)6 zP9Mj9U&Y6+zhCD^zLk#MK0bE*&2i^_`mgW#^Rv^(vENs5+*S8{cKSH>`zkJW{rx)6 zP9Mj9U&V7*ooA7E#=;<&5M zv(x8ue|DvcUM?e*C2tGL+p_v`%gU?>&i|)N z>86*eIPR+PvETpZ|DF4N_22%F-0!RHzSlqhW2cW}zpvuh@2k##!0&DI?Dth1chz}z z`nbQ&R&m@_=dX>WyS7usaaW!H+gdty`+N?H_RmE{voxfov-Hr2A z9Cy`ucKUp7_WLT1yXyQ+>*?6-<0+22>iqthbhnIFaoknsZ`n@QTdm@_tIi)-NO$XG z6~|q5o}GSsJ@)%5j=Spo!R7RaW~(^vs`H1((jDHZ;<&5MA6ZK`yjaC?SDn9oD&5iH zDvrDA{L#&HcU-9AxU0?|n@h)jzx}z`@8h_u&fmG4?ygH!9Cy|Ek1nMfnXclvtIo62 z=X2k^RmE{voxf)#-SPPp{0uj06?&fhrM$)n0=jWg}?yB?b^l^{RS8?1`=h^AwPY+db+*RjKucu?T&-+ju zch&icnRM*-@f62hb^eL%bnN!=6vth4{>g=O?Dp{#$6a;)OfTJ;jVg}2>O4Drp38n; z#c@}iXQz*w+^OQYtIj{QmhS1rDvrDA{4-PO&JI^`+*Rk#Zl+_m&(A?|+*RklIG2vy zKAz&ZtInU>O~-B@PjTE;=bv3l$8H}_aokns+3Dlh@2fcOs`KBTNXKp;PjTE;=h^Aw z*zc=2?yB?OnMucPA5U@IRp-CEo$h<9RUCKKd3O3dm;Jtq-G2LXvERpWSDk-rIo;c{RUCKKd3O3dm;JtqO4Dro_lq; zisP<2e|0k*yM5k=;<&5M|6(rPFUPAm?yB?b^m#7(eHF)Db^iUObX(I^9Cy|EUyY<= zx6kt_j=SnSJAK@5=BqgFs`I~{NcX#;DvrDAJUe}!&wgLUaaWyZr;lU5uj06?&a>0U z{eHEI&pM&DKtIo62$Fbj6aokns|2&qC-9Db;xU0_Z ztfl+QVim_-b^e2?bnNzdKE-iYooAUMe17)(DvrDA{0-abZd|S6xU0^8WFg&6lT{pd)%lxy>2BVr;<&5Mv(s;{$9`YM zaaW!1jivAHRB_x@=MSu7yXySG&2;Sdc^``7t~$?7A9rND zisP;tAN&1p{!{Mv2Yo5```=XmY0rQE8#{g6#ohLNwYiIY9@Thu`Z)IcgEkj;)%X{t z)BSLy)8^u?8qZFj=f1eoX>)N`jc2Ei|ItLJ&Ba|co}E6P{r;fM#a%U?oj&g6nNFLF zyJ|c;ef*ENJ8drRs`2df@jqGUw7Iye#;;GNd!^TDb8%OVXQ$8eUtR9Bxwxywzc!nW z-9GOVZ7%Mr@t1ef{dBF<=Hjjz|N3IOH>NsmF7B%F?DYBE8=IXr7kAb8pIu1z=3J-E z#a%W2%6PgfyPY-{ch&f}E~R^Wsnh1-t{TrypP&DokxrY7yK4M9Tj@4eI&CiQs_{Rc zPj_{q)8^u?8vpK4x_8$*Z7%Mr@$B^Z`F}anX>)N`jc2Eie{Z|f=Hjjz|Nd&at%XjT zi@Rz(JAI!2>t3hL#a-Ro$A14C$8*0w=x=hr{|)u{p8x!cojz`V`#l)6x&7_;2_H3{ zoj$JDeh&t1F7B%F2iorq?$-8uFlcjeSB+<`-3(Y zchz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)Ic zgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OL zIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4HWzo* zcy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf2 z7kAZocKSH>`-3(Ychz`y`Z)IcgEkj;b#EX0{cl{#{l5CIOS#`y+kLP7NbK}+?Dth1 z`+e1UcKYr0*zc=2?yB*z)5o#jSDTBw>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YM zaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDA zJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61 zRUCKK`H^p> zW4Di|IPR+R?DTQ$_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhqC;1-R zJjHQWooAintUbf-3}IPR+RpT3ap@wqCFyXrhU{q}n7 z_f;Hs)%nwx(tl>DisP<2KQW!|iIFOfyXrhUeLnYRSE@Mfs`F3Ir(?Iz`%oNr)p>UM zxHIcj9Cy|E&z(>A`I#z?yXrhUeV#wLUBz)%oquXI-O~$I9Cy|EXC~8~?NxEyRp;61 z^ZCE9T*Yx$ooA=di#c@}iXQ$s@zioP{isP;tAN&1({hxBbuYU8s-0!RHzSsA! z*y-cg@2fcW`>OLF^wNE>QN?jrooA=dbN@P9#c@}izc!Zc+D;Y6U3LC%Yw6hS^EoJv zyXrhUeH{CJ6~|q5{vVs+Vz=M^T<-Xr=Z^2czSrMh?DTQ$_toZd*Wa&se(dyd*I!Cc z@z?EEo!_^Vj@>@*6FdIqx${2#*Z2JVLnG;iwyHSps(bzoE9q{Wuj06?&a>0!bF<%9 zaoknsZ(2{sZXX{z{^q&k`>*f$^Y5QYcgtuM$6a;LzhygJZ?%f!t~!5UA>FN$RUCKK zd3O5z+_!C1ak1;~*ZG6X=?=|S@!VDC509lgyi>(-SDinymTq{lisP<2fBRIrqr+7k zch&i$o9XVjP{naqoj*30j{Sc7bFtsYaaWzcb2r^xm#R4Ks`DRRN;fiH#c@}iXQ$8S zzI&^RUMJpbOIDvrDA{Jrbx?mJ(_aaWzce#^TgaoknsPmHBMu~WrySDhbQ zOZU)X6~|q5{^6-~pB%2@xU0^y({HcGeqY6LSDk04k2^VD#c@}iKe?Ok(Mwevch&jv zrF4%?S8?1`=TD8KW4F)G5j+0ox#Rn<@A=P9cKW!-=c_pGs(U^=ef;U6DlT^Y{W^bo zJsrD!-iPA3tIki%q+_>_j~##W-0}U__x$;v*iOf8A5U@IRrmZS7t*oY$5R}4)%i2M zbZ0iIIPR+R?DTmq`+XJ1U3H$FK5lZSisP<2|I}K#rx&X@?yB?8Or<+JT*Yx$oj<#o zj@>>#N9_2U=Z^2czUSZn7w6Kk+s9KJchx=r+-^E{`*@1ut~&qhQaX0~c#7k$I?qlY z$9`YM#jd|!=f6FXj@>?<;<>BNv(v}@`}r!4yXyRRX40|S=Y3+w-#mAG|Mflp{=U1N z?t80M9Cy_{pPfF>WxucDxU0@z?4@J3kEb~9s`KApPWOY^DvrDA{0n30*zNOtisP<2 z|HHL(FD_Pb+*Rk<>GNFn`znsR>imy3)3M*jQyh2I`IqL>y*ysUaaWyZr_XbLe5s1# zt~$?7AJ2YY#c@}iUmr=wZXX{z{^q&k`>*f$`~S*Hx>x6`IPR)@{%aHIE)P|4+*Ri< zucu?T&*!H&?yB>z&!l6&kEb~9s`GDbr`uSq;<&5Mv(x9fZ%$Tm+*RkV^wP24=Y3+w z-#mAG|Mflp{@z+n_x5ZR$6a;LXQ$6|+3%}3?yB?etfkvrtm3$<&a>0!xmSm)IPR+R zS2xqK+vj~Kj=SpoFXqzya=eP;t~$?7pXajQS8?1`=igsSw>4eGaaW!H)kr#a`#hiG zxU0^y)5ra0zKY|nI{({=biW&_;<&5Mv(xAK?Dth1chz}z`Z)IcDvrDAJUe~d?^mn1 z*!B18{2vz5{c*C2=dL>cr(QaC`+N?H*lL??yB?GPoRK;;uo!_^f?!)J+ zIPR+R?DYBk?Dth1ch&hDw$t6XTE%f!o&U%}x|=4eIPR+RH}}%ryivt*SDk04-(HXX zzKY|nI^P>h-`lC;xU0?|SWCxlpXXB?chz}z`nZF`RUCKKd3O4EcKa%hyXrhUecX}p zDvrBqeC+qX@n3Skum0<&bHA^)`(FS3Eq402i@WXLKlh8f$mi+Td3O3Z_WLT1yXyQ4 z)9HRVQpIssooA=db6;Gk;<&5Mv(v}_XrhYat~$?7AJ2YY#c@}iXQz*Qd8Ufvt~$?7 zAOGX+DvrDAJUe~-PZp{;?yB?alj&aRRdL)^=h^A={8yK&IPR+Rug#`ox6k`f9Cy|E z%RA|Qx>m(;SDk--G2I(eRUCKKd3O4I?v2eVj=Spo&n~2UbFPZxt~!5ZJl&PuDvrDA z{9BjOy}eY$aaWyZr{7+W{l1Fht~&qDR{G7ADvrDA{LkmpU7e`nxU0^;JCyF-^(v0L z>O4FB_Im91RUCKK`S(WCzqeh*aaWyxe>L6KLKVkdb^ceA>3-d-;<&5E$A157-^l&G z`t|>u`+c?D_xk5o?DKK^w?1@I#qA&Y&`H&KcKW#9d=U<=&L7%Ncewq1^L&cqt~!5YA>DBM`^Hlochz}z`ncOS zsyObd^GBD{-7#CmaaWx`HkR(#P8G*pb^gw^bayRQaokns+3B~}W52KBxU0^OY^J~a zLKVkdb^e~YbjQc5IPR+R$9L1Q+voFB9Cy`ucKW#crmHyas`K}cq+_?w`%oNr)%nqt zbnN!=6vth4o}E69{l1Fht~&p~dOCLdc#7k$I?qlY_leOej=SpoC$`hE+vj~Kj=SnS zJAK?klT{pd)p>UMc=r1$j=SnSJAEAceHF)Db^ejDbnN!=6vth4o}E69{l1Fht~!6Z z?No5=_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ z6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcO zs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZ zr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrBqeC+qX zKA!viL3eY%|8;eI&+lKc)5o#jAGEo@^Yg35v(s-b_WOf27k71UA3J><`~5+8bHCr7 zuh;kb{un!b9Q*x2n`6I^kDBMR)5o#jAGEo+tH!g_$FbiZw7Iye#-0rlwxU0rL zwVLkfg-)A`yK4M1lj+X(I&CiQs`2df`TSp4?zFkMtH!g_$DbSPw7Iye#-H0sH?`Jj zb8%OVe|9n5m!>*xF7B%F&kd)0ZnM+o;;tJ1A1|c)=ebUsi@R$4^W*8B-|e)yxU0sq z)8{|`>7`Dai@UnFkNy7F|F7KdtKayy-0!RHzSsAc*y-cg@2fcW`>OLF^wP24$5R}4 z)p>UMxWCR;aoknsuZ^XikXX>DcY#DUQ49{QjAAw~SVC+*Rjq*-qD6t>U<=&L3Dv zck5&o$6a-voql^g_WLT1yXySG<@ASUt2pke^M}XM9p0(pxU0?|SxYy(SjBNyoxgo5 z-O=GHj=Spo(am&sT&Uu>tIi*rOUHh{{khoh z&a>0!bKkvH#c@}izh@=g@%bu_yXrhUeV%{sP!-2rb^hM4)p>UM?e*C2t2pke^C!mApV+D5 zxU0^Ot)+Wtv5MoaI{)xgx=#*QaoknsKe?IiQx~c@?yB?b^xNyP-&b+mRp(FcrhoKO z6~|q5etaq2W7Aa}ch&h*Bk9=f^Z6-`yXrhUeca>oRUCKKd3O5v(?eApch&jR>*?6- z^F9>EU3Gq9CLOzdJjHQWoqu9G9lL!z#c@}ie{vxmyL~*xaaWx`(@S?|ql)9MI?qm@ z=d#~daokns+3DjZcd9t`znsR>ioAS(y`meQyh2I zd3O4^e?MQvaaW!H&P+OX`@9duaaW!H?smHGtyXc|Rp;61^IZ1(DvrDA{KZ~6cKdjW zGQeY zoUG!wtIl8PrDM0x`%oNr)%mxU)4e@g#c@}iXQ$6|+3%}3?yB?etfkvrtm3$<&a>0! zxmSm)IPR+RS2xqK+vj~Kj=SpoFXqzya=eP;t~$?7pXajQS8?1`=igsSw>4eGaaW!H z)kr#a`#hiGxU0^y)5ra0zKY|nI{({=biW&_;<&5Mv(xAK?Dth1chz}z`Z)IcDvrDA zJUe~d?^mlh?yB>DSV;HB$tsS!>inO2>DcY_IVg_1>iqw+oR0lIp5nNx&i{EV9lL!z z#c@}i-&srdm&GcMyXyQ0Q|Z|4^L&cqt~$?7AIE-Q#c@}izc!bS-9Db;xU0^y)5o#j zS8?1`=XaOVvD?Q}9Cy|D*y*>|W52I97kAZpcKW#M=BqgFs`J-Rq}w-C#c@}i-?yIb z!{@6w?yB?b^!fbk_f;Hs)%hE?)7`jQ#c@}i|HwkRnUMxP!x09Cy`ucKUes`znsR>O4Dr z+>!Arj=O4n?DxO+ZtnNhum6wS@2l;;*T4Uboj&g3Zu|fL?H6~E&(p8-?DTQ$_f;Hs z)%h2u)BSLyisP<2&rYA`zPM7waaWyZr;q>9L>0$fb)KC*p8dXxR%@9Cy`ucKZ0AEL3saRp-|y)4kHG;<&5Mv(xAKuP#?{+*Rjan@z`VpZB3S z?yB>bchdcIt%~EWI{*4&x;Lh(IPR+R?DYBE8=F-ech&iyT}b!lTouP%b^gkDx+}X? z9Cy|Ew=Si7d#Q@!t~$?7zr7y&eHF)Db^e{L^qVVH9Cy|EpUEn9yoi-PD)%XML_XdCKP^Zns zT{WJaKK{1$_dRHHaaWB$IFs(sXs6A^T{Zqt`@P}0huh!xpv}cyHU3EZy}_~DAGEo+ ztH!g_$KAfsX>)N`jX%1a?vB|`n~S?@{IRig$96hxF7B%Fcdn(oYq8Vj;;tIcPM@ED zWVqAj;;tG$vYGDg3!OF>ch&fN=F%M>@3gtNtHvMSO~-DZpCj5_+*RY*>ErI3?zFkM ztH$3yl8)Uz?-OkRT{WJaK92qVpv}cyHU5G1bnN!=(dOc=8qZE2 z$9{j%=Hjjz|B3B%?Dp}|=Hjjz&rTo5et*#B;;tIcP9Mj9f6(UQt{TryAIE-w(B|T< z8vn>xI(GZ`XmfE_jc2EiW4}LWb8%OVKi&2#IQIL4HWzo*cy{_Y_WOf27kAZocKSH> z`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y z`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj; z)p&OLIQIL4HWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4 zHWzo*cy{_Y_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y z_WOf27kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27k71U zAN&2K3%TD{zc!cqeYM^9`oAB>P9Mj9U&Z~s{pX@O&rZL+9{YV2$6Yl(_W3yW`)YG> zSDj~{k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5{^*y}vD?Q}9Cy`ucKSH> z`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nIzRHQbnN!=6vth4o}E69{l1Fht~$?7AIE-Q z#c@}iXQz*2zpvuBtIo62$Fbj6aoknsPw>6B`4fDP?H9*gb)KC*?jgR%_KV}LI{z@= zdz)jwuj06?&a>0UeTwg~{o=T*&Ob7n?j+x1o2NMLs`KphagVN5aokns#~0H*HdV!O zSDimKobJ?S6~|q5{?ixIJw8{(aaWyZr{7+W{l1Fht~!7EQu@y`E2KU3LD+`E=~|c^``7t~$?7A9rTGisP<2|GD$&K0i~%aaWyZr_b{z zx2rhrs`F2+rh9s!isP<2|IB2%v%M;gyXrhU{q}n7_f;Hs)p>UMxN~Dw9Cy|Eb35s# z)~Yz}s`Jk-ru))V6~|q5{<-0F&uvz5+*Rk<>9^N!`{%hTj=Spo^W*8B->u@ftIo62 z=lRo1RUCKK_}K4%?R4(<)vxc%{l41ndwu_koj#8JzKUbNuR8xhFWm4q1pIPR+Rw@;-zI$XtZSDin)neL7YRUCKK`D1hG*zdPL7yEr2 zch&hjchlWA!FyXrhU{r39D zK6RmrC`2OpA{{4M-JKgtIt2pkedpYmR|pXajQ zS8?1`=igaNx4BrwaaWyZr_Xb*4p(v9Rp+m6ren9y`%oNr)%jn{rTgW06~|q5o}E6= zWxucDxU0^;zm#rkx{BkjI{&MYbnNzdKE-iYooA|W52I9m%ILcooAakt>ABqRt6#e#_xozQ@Aco`VyBP0 zxZD2ybHBKYe4c)tXQz*2zpvuBtIoeLo$iMtRUCKKd3O3d_r;Yej=SnSJAM3*CaO5@ zs`Kph@$C0i9Cy`ucKW!NXR0{vs`Kph@ju?K;$qj|uk-Bm@jqFp;<>BNuTQ3XrB}sq zSDk04&+}hhuHv|>&c8OBj@>@*Lvh?y=P&Q1`{`O0$6a;)^~H2=OjU8*Rp;61^SL)R ztGL+p_v`%6E~I;Nu8QZbI)7z6-Id)cj=SpoTbI(ky;Q|x=9s`E#e)7>#!#c@}iKQ@-`*iIG4U3LD> zwRCqaR&m@_=h^AE*JHo0;<&5Mk8GyD`$84RU3LDRxpc?Jt2pke^T&77vD@eKQyh2I zd3O4^`=+Zn?yB?mkECO_&-+juch&jPm2~X(@f62hb)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7 zAIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH> z`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5 z+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2 z&rTo5eqY6LSDk04k7K{D;<&5E$A15-!@1udbTjw+UsZ?q{Qea?eH{D!L7V$KKfh`` zJN@QjzdvYmaaZ^DvD3$~-yd`{_xtVndVR0&kFnFovELuGIrjVbsChm+eH{D!L7R)a zYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2 zn~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H z`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYW&eJr(?H|k2V)~)p&OLIQIL4HWzo* zcy{_Y_WOf27kAZocKSH>`-3(Ych&fjZ>3|mkB>GNchz`y`Z)IcgEkj;)p&OLIQIL4 zHWzo*cy{_Y_WOf27kAZocKSH>`-3(Ych&e4?Rzio#CE66#a%U?oj(2{zOSmy#a%W2 z;r6{3$9{j%=Hjjz&rTorDZa0&&Ba|c{*m^*7k85Ht7>y`SB+<b8%OVKYc0PXO=o` zF7B%F6VvIQ80oaRxU0sq)93SlcBRwi;;tJ1&ve>c+*RY*>GS-_?M|DEyK4MXtLdIz=(M@GtHwVwneJ?_)8^u?8qZFj&;Nzx zPMeFnYCJoA{JF7Cn~S?@{JEWUQ)`_z7kAb8XBX3bX{yua;;tJ1+;F<*Hal%D?yB+Z z^!fS!d9Ksu;;tJ1{CK+OcROt^?yB+Z^m+dDQm4(uUESNqe*dfgTkiMOrT;ni`)a%I z_5CGw`Z)IcDvtfW>ih@2bnN%>6vth4o}E7Kud`Jgch&i8W9hE#RB_x@=l`~rj@>?= zgW|ZW&a>0UvENs5+*RlQu^BFQ`|Zy~aoknoW2cW}zppkIch&jpcGF#dsfy#SI=^oz z9lL#=PjTE;=Z8kp4Q*9%+*RjqSV?!|d=5?xwrzQWeKtb^fDE=|-ljIPR+R?DYBEcW+g3+*RlASxI+%zKY|nI?qm@=ifV2 z#c@}izjr;|edntU<=&W~=V``Bs~$6a;);|u8?n5^QstIj{zOZVVL z6~|q5o}GSsJ@)%5j=SpoiLvx2cB(k;s`F!O=^k3F;<&5MKRlK0lfzXUchz}z`t9}D z@2fcOs`KphaVN*CIPR+RCwJ35dZ~)zt~x)ylUMIQIK0j=Spow%4 zaokns+3E9K_WLT1yXySKUOIOBc#7k$I{*FUbU&D_;<&5Mzc7}L-9FE!IPR+R?DTOj zE>>~eRp;61 zRUCKK`Sp=>?Dp{#$6a;)m6dd_&R22VRp(!uNOyUtisP<2e|bF}yL~=C#c@}ie|;w1 z8>3Ymch&hfw$p8_R&m@_=h^A=x!;_u;<&5MU+JY|x6k`f9Cy|Ex0chrJzK?bSDk04 z&vV)Dt2pke^Y5&s+gz;TxU0^y)91NYhpRa5s`FPj)3MvijR}(*1J0isP<2 z&rYA`vfo#6+*Rk_UrM(%UBz)%o&VKHI(GX!pW?Wy&a>0U{bs(3-^C ztIo62=lSgSRUCKKd3O3Z_WLT1yXrhUecbO?t2pke^M6=K_s7X9j=SpopL*%o?ejS( zj=SnSJAEAceHF)Db^gy|>DcY#DUQ49{LWgszbsa9+*Rj4m`cZPpXXB?chz}z`Z)Ic zDvrDA{I$7s?Dp{#$6a-voj#8JzKY|nI={P=j@>?<;<&5E$4O4FB_Im91RUCKK`QBLi-cA+AU3LDzS~_<7JfGsYtIo62 z#~mE5;<&5Mv(v}3-&b+mRp;61O4DrKKI6E z6~|q5{%04`y*XFKaaWzcGM?_rZWYH}b^fhO>E2$d;<&5Mv(s;{$9`YMaaWyxXDj{Y zN)^Xlb^hn`>8?&xaokns-yKT#?s^r+U3H$FetSLk`znsR>O4Dr+RUCKK`A=-8W4Di|IPR+R?DTQ$_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db^ejD zbnN!=6vth4o}E69{l1Fht~!6Z?N@N@_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db)KC* zj{Uxh zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrBqeC+rCWij{r>Q|<6zpu9YUf;iBr;lU5uj2mRety+?cKYr0 z*zc=2?yB*z&&RRfSDTBw>OA{=9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5 zuj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1 zU3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61RUCKK`H^p>W4Di|IPR+R z?DTQ$_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxhiqa(y2qxfIPR+Rr-sv=+N|QZtImJ=Lb}K2syObd^X&B7>#^TgaoknsPhU#^ znWZX@yXySJbh;--syObd^X&Bb+@D>k;<&5MKRKU{-9GO_aokns+3DlXtXFZ|Rp&o< zKHcYMsyObd^X&9_{^WKQ$6a;)snv8(FH~{dRp+0XOn0_d#c@}iXQ$8S|H5(=$6a;) zi?iv@ja6~nRp-y`q?=l+;<&5MKf9RjOH)-Gch&jlhSNQ_S;cWzooA=tUXT60isP<2 z|NMCR=Xa|(?yB?b^m*>|QWeKtH9q$HU%4yy`-8rh`~9z|ckTK8D|Y%g_WOf2$9^9l zHU5M4dy8YYKWKAtSB+<9o1HtH%FrEgidk-Y42z+*RY* z>Eqb%587PZRpbA$87_AF&1aj7ySlfJoj#8J{-E#We!uGi$7f5%QAcRjyvYIE1^ zw*Of*&)?U6Z*lDQ2W>9ys_{c3>4vsCZ7%Mr@i(lbyK%nL=Hjjz&rYAu&3=E-=Hjjz zf75z8cKi5fb8%OV-#?S?meEd|i@R$4E!*jOtDQC%ch&d<3+Zm1?6kSKtH!g_=jXm{ zqtoW%t{Q)EIo+YzPMeFnYW(4`bcc63Z7%Mr@kiFu4KH@uT-;UTZ=Xtcbhy*z;;tHh zbTi!@7dmY&?yB*}=F+j>=kFofT-;UT@7zsy*QHLIi@R$4N0-u#On2H`+*RY*>GQeo z-s-fuxU0tBvy$%ke5cLDT{WJaKF_~*sMF@+t{Q*udb<10ciLRsRpalUNjEy$X>)N` zjUU}k_p#MZn~S?@{Kps4Juum6b8%OVf3TPC!HrIvi@Rz(JAMB1|HN#k&Ba|c{=`_i z6FZ$Y7kAb8v9)v$Eq2;m+*RWro=W%0;ZB>2yJ|c;eSZE=UFfvAxU0sq)5o72@3gtN ztHz()P50=fPMeFnYW(<8y2qwFZ7%Mr@ux=8vD@e8h&C5@)p&OLxX0%^Z7%Mr@$B^R zr-wRiF7B%Fr`OZ5+vk0v&Ba|ceqtsayM27LxwxywKe3&T-9A3rT-;UTpIk`CZXX|Q zF7B%FXL{+*Y;@XO+*RY*>GNFn`-3(Ychz`y`nbuRPMeFnYW!1c>7HKfw7Iye#y>Na z?(A@<&Ba|c{_JKtcKiGs(dOc=8vn()bnN!=(dOc=8h>s#9lL#ew7Iye#y`81j@>>! z+FaaK2W>9ys`2Y1>DcY#qs_%#HU5>Abg#~L+FaaK<6oOdcX_DO z=Hjjze|bF}yL~=?w7Iye#=kz3?v2q-n~S?@{2SZpHdZ@rF7B%F?DYBEZ%%gFT-;UT zuk_Nf+vk0v&Ba|c{;lP7Z_jqxT-;UT+3E9K_WOf27kAb8ch=HvE_T{n+*RY*>GRyH z!<{x4ch&f-o9Wo?^FGn$;;tJ1i@9{a9PhNbxU0sq)91PD_Xlk*?yB+cFQwa>?zFkM ztH%FoBpthbo*!*4?yB+Z^l`tL@3gtNtH%F!BHizXI&CiQs`2dfc|QC7L7R)aYCJoA z-1cav&Ba|co}E7a_p6;Y7kAb8KP;sC<7B7J#a%W2PrY>P_W2yq=Hjjz&rTo5et*#B z;;tJ1=dpC`_VLl?;;tIMvzG2Ji=8$Xch&e0rqZ$7=lRj*;;tIcP9Mj9f6(UQt{Q)B zE*-mle6+c^tH!g_$FbiZw7Iye#_ukrW4DiwHWznwZy!7T=3>7;=zF=}Z~y*4ukZEm zA7ZDEyKX+7pKXr)K0a!mfBi(deM6l#7kAb8ee3Bye7@7>;;tIcPM^=uet*#B;;tHh z!*;qGS37Mk?yB)0Sx9%&WT(x=T{ZsZUb>q%I&CiQs`2df`MGbI?Xd;a_1*y-ag?zVsbtTuPCeI9(&cy{_Y_WOf27kAb87pBww zaHP}b;;tIcPM_z#xYB8JaaWCJr;q>9M5oQgT{WJaKK}n)@3gtNtH!g_$G<$&X>)N` zjc2Ei|M7OG&Ba|co}E7aCkvf67kAb8^~rRv^g3-W?yB+Z^m+cP%bhkCch&gUX4A3T z=Y68h#a%W2@=m&+u65d6+*RXWUrhJLRHx0wT{WJaKA(GIv(x6{t{VTd3+djR>$JJJ ztHxg$Pj_Xv)8^u?8voX%bZ;+p+FaaK)N`jeln=-R4TC&Ba|c{^#@Q zu1<8?T-;UT-yKT#?s})q#a%U?ojyPRFK0S!F7B%F?DX;PZFkyS+*RY>Uro2Q&}nmV zSB+<<&+~uX>$JJJt9$#{@Bi25a=)+sw>xsbueSSM|NSv``ndh=_dvz%Z@*8f^X&9- zz4m*c;<&5MA85ZfxLe!rfr{gC}?wGCOxU0?|8%uX=r;6jQI)CR{ zy1N#uIPR+R?DX5~vENs5+*RjCHq+mIp^D?KI)Bexy5r+j9Cy|EHm^*#Uh$LLBrcKdjW)J>Eqb%t2pked;TZ3)3Mvf$Bw^w?)d)edp@6?K92pqisP=j z=d;ttvENs5+*Rk<>Eqb%t2pke^N)RUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1EeU4OsMv(v}1-&gV6 zRp;61pVMs9Q%D0&s}w%oj#8JzKY|nI?qlY$9`YM#jd|!=h^Aw*zc?O z*!B18JUe|H`+XH3yZ(NiXQz*2zpvuCtIo62$Fbj6aokns+3Dlh@2j}j_4n&MJAEAc zeH9>uR^l|L>RXlgqd3O3Z_WLR>cK!W2&rTo5eqY7MuD@UB z+3Dlh@2hz3s`KphaqRb1-2czF{lr#YpZT7TpkfFVr=b3rq9BI4fD;(XkWiQkQ!$|{ zF;JZ{L5;k$bT^i+H1Z+~FMT`RX?MD3+TExpLX%Izdal>mhT69W}+C>Wd~ z3C=&w$sctbCY3nzeLv6noab!(S-sWIsn_`(-|`JPO@Ct7->>uR^l|L>RXlgqd3O3Z z_WLR>cK!W2&rTo5eqY7MuD@UB+3Dlh@2hz3s`0Vk|F@mo@2mgb&HcXG?tA_7KX&>! z_WLUCukHU`ROi|0x7TC8uj06?#>Y+{$9`XJF7B%H?DTQ$_f;Hs)p>UMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q z#c@}iXQz*2zpvuBtIi+&YC3lNc#7k$I?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5 z+*RktzL}2QKAz&ZtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR z>ih}5_cni`SH*ExooAO4Dr++*D;j=SpoCR15aokns&+Vj}=~i*vRp*~uPWP3WDvrDA{PUyfp5LnC zxU0^y({HcGeqY6LSDk-hGW`p?RUCKKd3O3dcXp+UikU`>DcY#DUQ49 z{QkLgw~SYD+*Rjq>80zeS8?1`=MOBUyLGyX zIPR+RckZUU>rxfRU3LDGE9u5&t2pke^X&Bb+;?wRaokns?^#QCe6fn-t~$?7pXc8@ zQpIssoxgV@-F@e)IPR+R_s^vpAFtxLtIm)2(tT>ZisP<2|LLW44@_5a+*Riv?4)~e zvx?)cI{&|{renX~{#@+%aoknsPfVmcu~WrySDl~erh9m~isP<2|Hw?b&x}@a+*Rk< z>9^NozpvuBtIo62$DN$4;<&5MpWIFN*rh6tyXySpO1j5qt2pke^QXqrvD@e8pg8WT z^X&9-Pb^k(+*Rk<>Eln2RB_x@=TC2>W4F)yP#kyF`Kh^d?Dp{#$6a;)$zD2k`*@1u zt~&qJQaX0~c#7k$I)A2UMIQIK0j=Spox2Dpu+s9KJchz}z`Z)IcDvrDA{I}=QvD?Q}9Cy|E@AT4r zcfE?^t~$?7pXajQS8?1`=P!2BvD?Q}9Cy|E@2#f${(Ke3U3LD&iFEAtc|OH)SDk;U zo9^Z1DvrDAJUe}!%YI+QaaWyxWh))~eLTf+SDpXCLb_Kct2pke^X&9_?rWE-IPR+R z?DX;M_f;Hs)%lIFbnN!=6vth4{`IwVZ!A`E+*Rk_oJx0jq>AINI)8a19lL!#KgDrZ zoquaC-P_|;9Cy|Ew|nU}*Q+@0s`Kph`P}bJS8?1`=dX0qvD@c;D2}`8{JX2^-kY!D zxU0^y)91PD_f;Hs)%o|k>9&@uIPR+R?DTo=)zK=ByXySat#s`6c^``7t~&qIg>*lg ztm3$<&a>0!x$O5<9Cy|E4_DG{&sK5VRp);(mX6&%&!;%UMIQIK0j=Spo?n*j#`*@1ut{NZv{Pue6_toa& zt~$>?A9vki6~|q5{`#qO`$nob?yB?qHqw3kd=hiS(VFDvrDA{DE#dcKbY^ z;<&5Mv(v{N9IfKGtIo62$Ftv8aokns+3DksOjdE+RpVp7|8M^z_xtL<|F_)ltL?tm zzyFPWKJMaf`}fcN;x6)e`gNY2K92pqisP<2|Ke=Am&U3%?yB?b^m*>fYgHU~)p>UM z_*bT?IPR+R?DX;M_f;Hs)p>UMxL4<@IPR+R?DX-k^{P1Ts`Kph@jqOu;<&5MZ%n6q zy;H?;SDk04&-33{t>U<=&c8XIj@>@*Lvh?y=P&Q1`%$-w-#c@}i|6nBD2OCu!chz}z`t9}D@2fcOs`KphaX;@>aokns zKU`0@y;Q|amDU%!|8ef1mvmiv9R-S_(ESM2n0`?o)KQpN2b z``Ag?<;<&5Mv(v}jzFEa_SDin)n(mJIDvrDA{IQ92$9AeX?yB>5 zcGKOpT*Yx$ooA=tUXT60isP<2KemUMIQIK0j=Spo0~_fcJYU6e zSDk04&vPFduj06?&Og*k$8MkZp*ZfU^X&9-4^LNd+*Rk<>Eqe&t2pke^X&9-?Dth1 zch&hvC(^Oo$5R}4)p>UMIQIK0j=Spo>9$kBvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1 zchz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5M zv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC* zj{Uxh zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aokns+3Dlh@2fcOs`0Vk|Hgdo_tk%!$o;Eqb%t9b6J^X&9-?Dth%?E3q4o}E69 z{l1Flt~$?7AIE-Q#l^0_U+3BBD3nkB=RHbKH5K{_A`G{Ot5`?Dth1chxj*!B18{E7Cx7k8pp#dBAkXQz*UnD49o z;<&5MKhnPU;@I!2IPR+R?DTP;<@;*CIPR+RkItt%$@kUfDUQ49JUe~dW8Er_yXySp za=OQ7syObd^QT7Bo!YA6xU0^8?n1gJ7OFVzs`Kph+v~C4S8=iH@7MX$m(qWJrHbdS zIzKg=?#Zz#j=SnSJAFR)7uKpc?yB=oEv93)&-+juchz}z`nWS2RUCKK`7fSN_ocZi zj=SnSJAIx%-K*lbtIj{Yp6;2YDvrDA{Ik>P&UUIe?yB?b^xNyP-&b+mRp;61amD8-L0DzWQ%3<$hmn_r3o4A3J><`+XJ1eqVL|BYtn2 zXTPuFxU0^y)5raJzKY|nI)7~<-L;)6j=SpoU%Kho?ejS(j=SnSJAEAceHF)Db^dQ# z;bOPn{#+EtT{S*-`Z)IcYIAW{oxg53-SwBMIPR+R`&QDi+voWd$6a-PWGvmtb`{56 zb^eC6bT=+maokns+3EAS+3%}3?yB=QZKPwjkEb~9s`LBj(%mv%#c@}izonP1vtGq< zSDinwl+q&vJ*#c@}iKhjM%x?II^ zSDn9oCf(7|DvrDA{L!s+cU-9AxU0?|TS&)#zx}z`@8h_u&fmG4?ygH!9Cy|EPp+gJ zo2}xwtIo62=X2k^UBz)%oxf)--SNdLj=SnSJAIyi??@HLU3LE6jdb^&uj06?&fhinmd(mgO;#c@}if3TD8!Obd;yXrhU{q}n7_Ej8r)%g<> z=}+ubaoknsC%Wk#UasP}tIj_%lkPL4RUCKKd3O5k_1N#LIPR+R?DTObC#yK_s`Dpz z(>->nisP<2Ke>|b@!2YlyXyR@v2^VA`8g<#yXrhUecTg^RUCKKd3O5v(<4EU3GqHE*-mlJjHQWoqw{Ij@>?<;<&5MKed#O-9Db;xU0^e>7+ZeS;cWz zooA=dbJ_2!IPR+R?DTQdJ5?Na)%mBp>7H4x;<&5MKRc7|>}VCoU3LEKRyub3{2Ua= zU3LD;3+dSH<0+22>ioIgbnN!=6vth4{<)QO?Dp{#$6a-voj#8JzKY|nI{&SybnN!= z6vth4o}E69{l1Fht~&qixpeII@f62hb^bfObl+XC;<&5Mv(x9f?Dth1ch&ieopkK> z@f62hb^d#+>ApW-#c@}ie{mumyM3Ndaokns+3Dk6UasP}tIo62$Ftv8aokns+3Dlh z?W;KMs`EcsNcZYw6~|q5o}E6=WxucDxU0_p-z(|Z@8cDcY_J`~4Yb^hJebnneqaokns+3E9K_WLT1yXyS=-E>>aRUCKK zd3O3d_v&aB$6a;)>Q*{-`@9duaaW!H=|Z}nO;&N-Rp;61^IZ1(DvrDA{D&*)wr8t2 z?yB>@7)!@)pXXB?chz}z`nX>$R&m@_=YKtw?l&V<9Cy`ucKSS@{l1Fht~$?7AIENA z#c@}iXQz+*?Rpi*U3LCO4Dr+;xjp9Cy|E>!;G~8>!;BtIqG+ zNcZvcRUCKKd3O4Ie)jt+j=Spo4ZU9^NozpvuBtIl^O(sy>MIPR+R2fFFl?elz!Eqb%587PZ zRpVcrP508+P@9XpYCJoAp8N9JP@9XpYCJoA{3}yKZ7%Mr@$B^R?Dq$4F7B%F?DTQ3 z&JDG>xU0sq)5pKo8)|cLSB+<CHWzo*_#aP7SrWxU0s0Fp}Y`1T{Zs0 z^>o`yLv1eZs`2dfdHyduLv1eZ>fS!~`^%rn{r;f;U+(vp)z9qt&#&0&T{WJaKJK>mdoXBoaaWB$*nV$t zhuZJKpv}cyHU3chy}=!BzXyXh7kAb8BklJF$8LYn=Hjjz&rTnA`{q!ai@R$4(baT! z%n!A>xU0q=n@D$TXQ<7^T{ZsBZo0dchuU1+RpZ&|^Yf354z;5fkhwYj*f#vk8J$8MjWBidZtRpZ&|=pyM27Lxwxywv(v}1 z-ygKOxU0sWZu=D+`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@ zJUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+i zi@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc z{Xv_HyJ|c;eH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c; zeH{D!L7R)aYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HyJ|c;eH{D!L7R)a zYCJoA9Q*x2n~S?@JUe|H`~5+ii@Rz(JAEAc{Xv_HySlfJ{r>W1?)TMyUCsTz+U|Sp zM`EXsW52KB*zc>(v(s;{$9`YMaaWCxoj#8JzS>;eRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69 z{l1Fht~$?7AIE-Q#c@}iKl;^l?Dp{#$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5 zuj06?&X0XF9lL!z#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb1 z9Cy|E6MXM&{siA+`^9lrooAO4Dr++*D;j=SpoipB|>7QAu;<&5MKRcc7 zY^RFjt~$?7pU?gGt5qC#)p>UM_;V9g9Cy|Eb35r~x>X!^)%oX^(|u*8isP<2&rYAu z|NK@J$6a-voj(2_7OFVzs`D>Qrh8$xisP<2&rYA`&#qK)+*RXazyGiQTkiMOZ=B5i zzS{14egBG`K92pqieta8I{#58-A9{M9Cy`ucKST`&+}Crch&i86X~w)RB_x@=l{}8 z$8Mj`L2=ww=h^Aw*zc=2?yB>D+X@%E{r2Z_$KO16eE;>m{{CX8k7K{DHkZ5pe$De^ zr;oe-QhJKNZnx_EzLj+B_IaP!@i)(%_vydB=jR_8OEs1_g)%gQU>295_;<&5M zv(s;{$9`YM#jd|!=MS!?KQv#(b61@|Jdy73P8G*pb^b^<-RN=^$6a;)_L+1?N2@sQ zs`E#;(%o^PisP<2e{3Ng`~CLkV!w~$t~!6`Zo0cJRdL)^=RdiUZfv%SU3LDRwRFc9t2pke^Z#Wk-Mu4K9Cy|EdpFYEcfN|_t~!7JT)Oe`DvrDA{CF?j zr`D@D?yB>jUP|}CbQQ;4b^gIlx(7F_IPR+R?DX5~vENs5+*RjKOr$@tQ^j#touBBY zdw98u0Uot&)VxU0^e+)ek`r7DiQ>ipzN zy2od$IPR+Rr^eE;+vn$q9e?xO@%`8L{O=F!^l?utR&m@__k4Ex_|qd*TPjTE;_xz`p(y`meQyh2I`7@n# zXEv)i?yB?b^m#7(eHF)Db)KC*ZhEJRYjgYHyyiuJjHQWoquj69lL!z#c@}iXQz*2 zzpvtA*Wa)6-Er(6d=DcY_KC$C(o;$w(`ksG(-|40M z?s^r+U3JfAr_XcQ@2fcOs`D2+>DcY#DUQ49{P$MVeSf}+UMJomLrRUCKK zd3O4EcKa%hyXySLSUPt5_}K9`&mG@?eb3+j*Vod$u~@}%SKag9oJx0jq>AINI)8a1 z9lL!#KgDrZoquaC9s7Me#c@}if4i4%bG?e=t~$?7pXa_aUBz)%oxjpa$8MkZi5-9Q z-0}U__x$^NcQxI6^Hm&o)jgk`KF?*puj06?&cEMHx3yfwaaWyZr_Xb*j#hEpRp+m6 zrDM0x`%oNr)%l+;r2E-q6~|q5o}E6=WxucDxU0^8xRP#rwu0UUAI`pb61_eek$F*kt&Y6>ioWqbRR!o#c@}i zXQ$8SXTPuFxU0_J&`WpYdKJfAb^a4e>28{?;<&5M-`q)e^JW#tU3H$FetSLk`znsR z>U?J+eP^eN>c2jl`+c?D_xkT|vD3$0+-?8>|uch&hf=hLy<=Y1%SyXySqope9y zR&m@_=igdR_x4N`$6a-voj#v?bE}Hut~&qY3+dijsN%S*&R>~KcV)MVZ#as`DR=r2Al_isP<2&rZL+ z9{YV2$6a;)=i}*r-mBuctImJ8o^E@oisP<2|BLB#zwA_T+*RXazyJ0Bk^6o1U;bO} z_tkda>z`k-&&TcG{@6(sw}0$oCspU!>Ek+!RUCKK`2+3u27l{F6~|q5o}E7aw)Xd} z;<&5MADl~fXuOK!t~!6Hm+o-;`{wx+$6a;)$Wpq|_VKRp*bc zrn_UlisP<2e{3S%v7IW8yXyR%-E?;?S8?1`=h^AE*JHo0;<&5Mk8P#D`$84RU3LDR zg>=U!t2pke^T&77vD@eKQyh2Id3O4^`(~>+?yB?mkELU`&-+juch&jvwRG(E@f62h zb)KC*j{Uxh0UvENs5+*RjKx19=({l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`u zcKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0U zvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pq zisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhG_}J;=*zc>&=dQnB=h^Aw*zc?O z*!B18JUe|H`+XJ9U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;$qj|uk-BmaqRb1 zJa^T3cKSH>`zkJW{rx)6P9Mj9U&V7*ooArC`ulbM=vULR+sDU_zd7!_ zPyhA3{_`hx`Z)IcDvtfW>YmR|AIE-Q#l^0_U+3BB-^X^)3Mvf$Bw@_ z?z~U`^*w)ncKSH>`znsR>YmR|AIE-Q#l^0_U+3BBXeYIa4ch&hv=hL0! z`)czP$6a-voj&fdZWYH}b$)U=-QzP=9Cy|EQ={olZB=pHRp&o9)TRUCKKd3O5k z_1N#LxY+gg>-_0U=|8_x#dBAkpPEhg2zm1RUCKK zd3O5k_1N#LIPR+R?DTQxCaSpD_4n)ixt(+~-722D>il!d>Ao^k#c@}ie||LG^IKIM zchz}z`t9}D@2j}j_4n)i3zO+z*sbEZtIo62=ee^hRUCKK_}K6N%m0)6eRcW&%Kg6D z?tA_7KX&>!_WLT1{l4n_NBrJ4&wgLUaaWyZr;q#dd=`znsR>iplf!o_aC{kbTPyJ~#w^l|L>)#l=^I)B}6y6Z1haokns z_pPL3x6kt_j=Spo$XL3O?JADD>ii9B>26%C;<&5Mv(x8uv)@;7+*Rjq+DON4A5U@I zRps1_g)%i~^rF&qyisP<2|6nKG zgPT#^Tgaokns+3Dj>PF8W;Rp(FcrhDvC6~|q5esU$<rH`1}&=Y1%SyXySZTsn69c#7k$I{#!Z9lL!z#c@}i ze`+ZmyL~*xaaWx`(@A$`vx?)cI?qm@=d#~daokns+3Dk^cd9t=3X#c@}i ze|9F_+0iPFyXySet#s`6`8g<#yXyRx7t*oY$5R}4)%kO~>DcY#DUQ49{BtYm*zMyf zj=SnSJAEAceHF)Db^cpZ>DcY#DUQ49JUe|H`+XJ1U3LE3bLrUa<0+22>ilAt&O z#c@}iXQ$6|+3%}3?yB<_JL%Z%<0+22>iqXs(|v!wisP<2|KdbCcKbY^;<&5Mv(v}D zyj;a`SDk04k7vKH;<&5Mv(v}1+gEYiRp)=OknYvVDvrDAJUe}!%YI+QaaWyZr;lU5 zuj06?&TovRW4Di|IPR+Rudk(hW3h_kt~&qbRJzL}RUCKK`O6#W*zNQADUQ49{9AMB z-X5>wxU0^;-AlK*Ud3@&ooA=d=YD6pisP<2f2EU--9GO_aokns-(5}j-h36uU3H$F zKF?*puj06?&cEMHx3yfwaaWyZr_Xb*j#hEpRp+m6rDM0x`%oNr)%l+;r2E-q6~|q5 zo}E6=WxucDxU0^8xRP#rwu`znsR>ii!k(y`meQyh2I`JHaMKP^{r+*Rj4nn}lQpXXB?chz}z`Z)Ic zDvrDA{I!L2?Dp{#$6a-voj#8JzKY|nI={P;j@>?<;<&5E$4b)KDmdp-91DvrDAd}ktkXQztet~!69n~vQ+&!;%UMxFeHQ9Cy|D*zbS+_qpF!|K)$?eqU|(z5e}g?DTOL zciaE{Z@;*Ue4c)t|K6o^-(RWXxU0^;IGgUJu_}(c>O4DrKKILORUCKKd3O5vSEi~s z?yB?b^zrQXRUCKKd3O4^SLdoY?yB?b^zpCtsyObd^X&BTKU}KfxU0@@Os9LjQ^j#t zooA=d^WRvl;<&5Mzd4_d-9GO_aoknsFYl!LQMZcYt~&qLa=N!?syObd^X&Bb+?!if z9Cy|EA74oK&O#N(U3LD-WV$Q6RUCKK`FAg+dvB$R4)p>UM?e*C2t2pke^X&9-Kkrp>+*Rj4Tu-;X zRK;;uooA=d^MBc?;<&5E$A15xZ_EAupjUFg|Ig}ed;aq)cKW#e+aEhQXmk6=+W)K? z&rToLSsZF}aaWB$(0*_5w~h?8xwxywv(v}l*8aW+Z7%Mr@dxM99U32Mb8%OVKh%D2 zc<$l$_dRHHaaWB$(tdAn?Dhw3F7B%F?DTQBZw|G&xU0q=T}^k#{7{>VyK4NgiFC(y zhT2@*RpamMrn_r-sLjP)HJ+V5KmXY1P@9XpYW&z%y1Oq7wYj*f#^1A$?)cZN11kB>GNchz`y`Z)IcgEkj; z)p&OLIQIL4HWzo*cy{_Y_WOf27kAb8M<>#;+s8+ni@Rz(JAEAc{Xv_HyK4ODwqL=q z-ygKOxU0sq)5o#jAGEo+tH!g_$FbiZw7Iye#RUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5 zo}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`Kph zaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5 zuj06?&L911I(GYbisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp-aPnU38) zp5nNx&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDA{0YAIHh+Te zvHjw>tIo62$34vV*nV-`Rp%e!dv9~>_f;Hs)p>UMxXrFQxzdN)^Xlb$)6#-IHTg9Cy`ucKUqoFRWE@+*RkFT1>}&pZB3S?yB?b^l@i4 zsyObd^Itrl?n`r39Cy|E>G5>ay(*5o>ipB|>7H4t;<&5MKRcc7Y^RFjt~$?7zr7y& zeHF)Db)KC*?%YHb$6a;)+)lcgZWYH}b^f{KbYGdN;<&5Mv(xAEKfhJQaaWyZr;q=K zg({A_>ii3n>0a2a;<&5Mv(xAKvny2`ch&gV?|=Qvx!+g+<#6uz)pp*fy_ZK^T9Q%E>x!m>lYn~rFecbhz(o_6(yH)4+ zt)yeO&-=uVzj^MwPyh8jKmW*Bx{>WFj=Soff5Te38yBlM?yB?b^!eQE_f;Hs)%lw? z(y`me$Bw^w?)d)ed;a|U=hEFWUd3@&-ScnhrR%I$aokns4=km-b-Ieipq}bcc7UIPR+RN4n`om#aALs`Izcq&qrV#c@}iKf0Cf zjtf;Bch&i03+dSJw?7yAeH?ey`8#*h-F2yoUMeD1rqt2pke z^Y^T!JHA-OaaWyZr_b~69jW5DtIprMk?y|pRUCKK`TOV6jgMDx+*Rktd+9#4Ud3@& zo&WSwx(BAKIPR+R4|dW$xLL(;8nxU25@?DX-cN2<8k_4n)i>5X*k_IV$Q z=dL0U{m1z#j=Spox98Ha+vj~^$KO16eE;=5|Ng$yOZVONDvrDA zp3hF7=d#~daoknsFLu&h+^pibtImIKHQo2;t2pke^Dj=MW4F)er#SAa^X&9-FE3Yd z+*Rk<>Eqe&t2pke^X&9-?Dth1ch&hHETnsNvWnxbI?qm@=d#~daokns+3Dlh@2fcO zs`DFT>DcY#W5?e-cYOc#J%9gSUrYDKVim_-bO4Drp8L*p6~|q5{z@kuyM5j#cKpqA$M;{~^Y8E7 z)pYO8S8?1`_k4ExJeU2xisP<2|9&^!)^ZicU3H$FKF_^6TE%f!oxi%3j@>@*Lvh?y z=YP78?q`!#9Cy`ucKSS*{l1Fht~&qWO1kaYDvrDA{4d7RvD@eQ6vth4o}E7KSBq5~ zch&h{Po?|KNEOFjb)KC*&u71{;<&5Mv(v}1-&b+mRp;61<9@qd#l^0_U*~_fl`znsR>io5ZbnN!=6vth4o}E69{l1EeU4OsM@2;d{w~wcI?yB*z z({HcGeqU`acm4f3&rTnA-C`BbU3LEYsdW2BsyObd^ZPc^ef)eC$6a-voj#wR{l1Fh zt~!51FWrsnRUCKK`A;mRyJ@UM?e*C2t2pke^PP$Got-L< zyXyRbZaQ}RJfGsYtIo62#~mE4;<&5Mv(v}3-&b+mRp;61enC0{l41nd;Ryf*y-ag?zVsb+%N7TpQm5v+3Dlh@2fcOs`D?-rh93uisP<2&rYA` zzPwh&aaWyZr;mSSs*2;TI?qlY&wgLUaaWyZr;mGeu8QNXI?qlY|5~q#i(P-e&a>0U z|8S{_=dL=xF`e%9P8G*pb)KC*&wpdJisP<2|K@x;cKf^!#c@}izr2&~N8KupyXyQ~ z%jw>psp7b+&a>0!b8l`{ak1;~*ZCh`NcYY{70+FD{>o&!E4x)3ch&iKFQt2LrHbRO zI?qnOy&n606~|q5{{8LrTWeJuch&iyET+3URmE{vo&R7Y-3J?09Cy|EpPo-S$!y$6a;)7t`r}*{R~VtH#HE|7%~%{l5B7&*pw#ZTG$Y z`4u~T-2Uy4om6rA$3Avab)KC*uCrLhaaWx`(0*_5w~kbC+*Rk<>Emx}f8Q#OyXySG zxpardt2pke^M`ur4!6H=o=_r#SAa^X&9-?Dth1ch&iadg<8h<0+22>O4Dr9Q%D0$6a-voj#8J zzKY|nI?qlY$9`YMaaWyxbRr$QeLTf+SDk04k7K{D;<&5MpKd!99Q%D0$6a-voj#8J zzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1 zchz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5M zv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC* zj{Uxh zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht{NZv{eK$I{r;f6-0%OBI=<)k zuh{A1*zXV8++X?mRpZ&|Hy8W;L7R)ay0?#=K92qVpuODhx998iy}m!jP9Mj9f6(UG z@8hH9`Rw#@?Dq$4F7B%F?DTQ$_Xlk*?yB+Z^l|L>2W>9ys`2dfaqRa8Z7%Mr@$B?* z?Dq$4F7B%F?DTQ$_Xlk*?yB+Z^l|L>2W>9ys`2dfaqRa8Z7%Mr@$B?*?Dq$4F7B%F z?DTQ$_Xlk*?yB+Z^l|L>2W>9ys`2dfaqRa8Z7%Mr@$B?*?Dq$4F7B%FN57hm-9A3r zT-;UT+3Dlh?+@Bs+*RY*>Eqb%587PZRpZ&|>!+FaaKEqb%587PZRpZ&|*=0Z8ftTKSB-ym zI^EgMP@9XpYCJoAKL6jZ4z;O4Dr+@I&GIPR+R z*Cx_k+o|HXtIq$Wn~vQ+pM&DKtIo62$Fbj6aokns|F#t_cKhwmMRD9!<720fW52I9 z7kAb9>vq#!f2oS&t~$SOB^|qco=>(v56aaoknsZ&*ur<6;%ZU3H$FKA)TY zzKY|nI)BqfI(GYbisP<2zke>>E#p-jch&h@dg(grRUCKK`2$PoZk?{;xU0^y({HcG zeqY6LSDin&n*Pvy6~|q5{_sS)!#hin^VbnN%rpNsuIj=SpooxADox>Ut+SDpXlO1iPxDvrDAJUe|p_ubo79Cy|E zd)Cq&U##M|tIo62=lS=JRB_x@=kMJ}ci;Icj=Spo{d4KY$E!H*s`KN$be~$U;<&5M ze|jn11JhL;ch&g^JLw+Wtm3$<&a=~Rug890#c@}iKQWR1#7-5*U3GqXE9oAet>U<= z&Yv1f$8MjWgW|ZW&a>0UJ+WBDaaWyZr;k59QpIssoj<*ij@>@*Lvh?y=cnein5bx-*+q9Cy`ucKSS*{l1Fht~$?7A2+>I z#c@}if4ZCQndK^uyXyS2GwIHbR&m@_=g)4XW4F)GL2=ww=fAv=j@>?<;<&5MpW98x zZXZu^+*RkFTS>=mA5U@IRp;61Er(6d=DcY_J`~4Yb^bfObl+XC;<&5Mv(x9f?Dth1ch&ieopkK?@f62hb^d#+>ApW-#c@}i ze{mumyM3NdaoknsU+SiNdAW+?t~$?7pXajQS8?1`=U>@M$9^AAaoknsf3T45)yXQ3 zyXrhUeV+T;r7DiQ>O4DrJo|kW$6a-PV=NuJeLTf+SDk--E!`W7RUCKK`8TK1T^_08 zxU0@z-blx8pU+Qm+*Rk_noIZgcooN8b^h&My3O?}j=SnSJAFR)JJVGhch&hTopkK> zc^``7t~&qjYP$F4t2pke^X&9_F8h5I$6a;){cgIgO4Dro_lq)isP<2e|0Mz zyM5k=;<&5M|8ybU&nBxl?yB?b^m#7(eHF)Db^gPZblbC49Cy|EUyP+=x6kt_j=SnS zJAK@*7OOb!s`I~|O81+QDvrDAJUe}!&wgLUaaWyZr;lU5uj06?&a>0U{dT>I0UvENs5+*RkVEu>?&kEb~9s`KphaqRb19Cy|E-Ia9g_VEO4DrK0o_? z6~|q5{)S$<8`rBi?yB>jSW0)(bQQ;4b^hi~x|=tvIPR+R?DX5~vENs5+*Rj06X`oU zRUCKK`2*c_?Dly+#c@}iXQz)lI9kPVSDk04k7vKH;<&5Mv(v{NnXKZttH#HE|7&mN zeqa5k|19mJFO5}k+*Rja z+D`ZKS{27#b)KC*pZk@mDvrDAJUe|n`+XJ1U3H$FKJL}IDvrDAJUe~-YrQItyXrhU zef$rXsyObd^BdFYUhhGS+IR;xJfs`GEor(?Iz`%oNr)%nXi>3-C$;<&5M zzqOq1?U^c$yXrhUeLnZ*Ru#uxb^gZ}(!H}##c@}izcQKb%5D|MU3LE5OX=QQsp7b+ z&a=~Rug890#c@}ie}6mu)>;+EU3LB^i|MXTRdL)^=RX)p_rXRL$6a-voql`$=+EY= zIPR+R?DX+J?^SW!Rp&okPq)2P#c@}iXQ$8ef7z+xxU0s;e*eG!KKJ|TKmO0$@2l;; z*FV2vr;ppe{jrlOZvWWFPO8qc)5modt2pke^9S1R4gS`VDvrDAJUe~-ZSC({#c@}i zKRB1}(0CQcU3LCYFWuqx_s#Puj=Spok)?F(_VE0?Dth1ch&i^t@L+asN%S*&fl|;?)YRC z$6a;)_-;CO`+R?<;<&5Mv(v}1 z-&b+mRp%erNXKp;PjTE;=h^Aw9vZLWxU0@T)Jw;1pZB3S?yB?b^l=YQS8?1`=h^Aw z+3%}3?yB?b^l|L>RUCKK`9~+xvD?Q}9Cy`ucKSH>`znsR>ip@pU%|28S8?1`=h^Aw z*zc=2?yB?b^l|L>RUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q z#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR z>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk< z>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5 zeqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61 zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWx``qgyo_VE0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1ch&h5?RzioM6ZhDt~$?7AOA4lSNp|rSDk;PeecDw-&b+mRp;61<37vx)qZi@ zRp%d_Pj`~GQe&ezl6@t~$?7AAfG5isP<2e{Lt;Ot*^Tt~&qRa=Nd~RB_x@=bs-< z_xx5B$6a-voql^g_WLT1yXyQ4lj&dBt>U<=&a>0!xw9)(9Cy|D*zbSsq1^8e`k%Sq z|C;*Hp5MP>r;lU5KWKC8_wiBVKWe|XIClGkHWzo*cy{`@KhF=fxwxywUu(a&_-i{u zZ7%Mr@qg*2W4F)yM4OAdYCJoA9Q*x2n~S?@{NJ|1#csd(Y;$o}_x7>V$FbiZ^gnaI z-~Rse`d;6^W2cY1p5HgMx$Ab@|E!wl?`yxeIClGkHWzo*_>r-6Bilo5F7B%FH>{<* zadD{4#a%U?oj#wN{r;fM#a%W2rj2y$_VLl?;;tIMe=glE<3nvO?yB*(^wM?KhuU1+ zRpSpVrMq={sLjP)HJ+V5Klg2$Lv1eZs__R`(;b>0YIAW{jXyk*?(oh~n~S?@{E=?D z(dD5w7kAb8+h@`p9UW?OaaWB$x|QyZ3qx%#?yB*}7Sgfb=kFofT-;UT@7zsy*QKF0 z7kAb8Pp+gJn;mL%aaWCJr_blUdwZzO#a%W2p0#wx7l+zh+*RZM%T&61M~2#5+*RZ6 z-AH%e`Jpx!ch&g&=hBUj54E|ttHzJ_(tT=usLjP)HU85}=^mIKYIAW{jeoF{?!nEW zHWzo*cy{{y{XaB6)aK%@8h>IU-HDx{HWzo*_=#@1hnI)iT-;UTADKz_nbDy(7kAZo zcKZDMpS>{D=Hjjz&rTnIa&oB6#a%W2cBsw8T{ZsHSUPt5 z{2bBd;;tIcP9OKg;!vB5yJ|c;ef;T>p*9zH)%epJ>DcY_KGEjlt{OizmyX>&KH6N| zRpX!RrDL~`k2V)~)%d5D(y`meN1KbgYW$f_x-*+YZ7%Mr@$B?@F8lpKn~S?@JUe~d z^v+P5i@R$4)7^B>EDyE0xU0rLJCpA0=un%ByK4N|t#s`6`8lG^#a%W2%M0n)?c<}( z#a%W2+-^E{`}k;caaWChZY3SNeSEaJxU0sq)5o#jAGEo+tHyt8DjmChe6+c^tH!g_ z$FbiZw7Iye#(#S*9lL#ew7Iye#($@m?z`(lZ7%Mr@$B?@F8lpKn~S?@{KZZ>cKi5f zb8%OV|K4i4@6Qjlxwxywzc`WZ#hsxx7kAb8m%8a*ULI<5aaWCJr_ayLet*#B;;tJ1 z%2qmd`}k;caaWE1!9u!MCx_Zx+*RY*>GRyzE)BK0xU0sq)5o*lAGEo+tHy7PrDL~` zk2V)~)%e%f(!H@b)aK%@8vo{0y2~R&Z7%Mr@s~H!vD@eKN1KbgYW!Ps>E0e6YIAW{ zjeonBZgYL8&Ba|co}E6Q`<>~bHWzo*_$!@s?Dlz|XmfE_jemDF-Fx#xZ7%Mr@$B?@ zF8lpKn~S?@{QKQ>TgyXjF7B%F?DTo=)zP6g7kAb8t6S;V?ejj-=Hjjz|I>wZKbstC zb8%OVXQ$6|+3ye9T-;UTKU_(-Jv-Fq;;tJ1i?MX<_IZA^xwxywv(v}@YH_H|#a%W2 z*Hh_!GcwfX;;tIcPM_zq-ygKOxU0sq)5o#jAGEo+tH!g_$NhGFsLjP)HU4)?>3%;w z)aK%@8vln*I(GYfj%agnSB+<)$`bP9JyOVmv?F9Q%EI)I9(CsdW2BhT2@* zRpa+26#fYIAW{jsL__x|^nl+FaaK<8SVy zyLoe{&Ba|co}E5F_bu~7Z7%Mr@tuiuot>dJ7kAb81Ko7&_W2yq=Hjjz&rTnAaCE56 z#a%U?oj#uZ{-DjpT{WJaKJLimP@9Xpy0?%0{y#pI`+fCmpUC~b+U|S(|G(Jj<1X&D zfB)Ps?joP3U+3BBg5wooAWI=?ZU?)6R;$6a-v zoj%WhW3`Iot~&qbd^&dfybr~3SDnASlkP{|DvrDA{9DWE-kz!AxU0^y)8}(+je3A74oK&O#N>U3LD-WV$Q6RUCKK`FAg+dvB$R4)p>UM?e*C2t2pke^X&9-Kkrp>+*Rj4Tu-;X zRK;;uooA=d^MBc?;<&5E$9{k5zvg~l{qGOteqU|(z5e+XJAK^#?T?*Qar?*Go~2*s z+3Djti&Y$V)%gSM_XdCKNEOFjb)KC*{R+W->>rr=h7V-uj09@&L8TfJKX-h zc|OH)SDinyl#bm#p5nNx&a>0U-M(4HaaWx`x|;5e`6`aP>in^ZbjNn8IPR+RcXrd= zwOqwUMxc{GTyNj*6zV|)f4XL3JR%jkf2y{UNn1UKZOE4)XHD%J8hc>2YBTGjb`D)}# zOQ$p2efA#Bg)c1~X%uOskuM|7jipnKtZKKl(o#~DP7S2s5;(Y_E$P$9eUR!hP3z9@ z{r#@b`u=bHxq54VS6=JC+*q5g&Hk|8S8=iHAJlnv`Z)IcDxSOQJUe|H`+XJ1U3H$F zK92pqii=(Upw6??$Fbj6@v-Y4)OmLLIQIK0K6d?sI?qlY$9`YMb61^br;lU5uj06? z&a>0UvENs5vFjhyd3O3Z_WLS6cKw4o&rTo5eqY7Mu76PH+3Dlh@2hz3s`KphaqRb1 zTb)KC*j{Uxhk6r(u&a>0UvENtm+*Rk<>Eqb%tGL+p59&NSeH{CJ70+FDo}E69 z{l1EeUH_oYv(v}1-&gUm>mSs4cKSH>`zoHhYJBYX|9vF)`@?SJe*fRqkv+eE#ZDi` zet+2J{?Yz(!AFf}r{7%c_lIpR?&{t?cKSH>`@?SJe!o3mukZEWUt*_^W4}LabL{u= zQS*Fu`Z)Ic!!{Rp)p&OLIQIL)HWzo*cy{_Y_WQ#&7kAZocKSH>`@=RDchz`y`Z)Ic z!!{Rp)p&OLIQIL)HWzo*cy{_Y_WQ#&7kAZocKSH>`@=RDchz`y`Z)Ic!!{Rp)p&OL zIQIL)HWzo*cy{_Y_WQ#&7kAZocKSH>`@=RDchz`y`Z)Ic!!{Rp)%c@dOvi2?A8jt~ zs`1CJOUHg6A8jt~s`2dfaqRbpZ7%Mr@$B?*?DvOlF7B%F{comYw~voD7kAZocKSH> z`@=RDchz`y`Z)Ic!!{Rp)p&OLIQIL)HWzo*cy{_Y_WQ#&7kAb86YYC1?!C6t{OkFlmDZ7%Mr@t-`O?(z9fn~S?@JUe}U{{OVw zX>)N`jX!-c-KUm2Z7%Mr@sl&@p6GYlT-;UT+3EB7KfT&%b8%OVe{vz+|1jBUb8%OV zXQ$8e&unzsT-;UTKXWeKXJ68=Hjjz|H4GN7j`>sF7B%F?DTp5%yOsA#a-Ro$A16c z|Igg-tN-i&l>2?P-S_(b68n4{`+XJ1ZeMl&{a!lu`*@1ut~$?7ANRMpDvrDA{I&6P z*LJEn?yB>DUr)zwpU**Y+*Rk<>Eqb%t2pke^Z(om7rXuT=b||7s`0VU$Fbj6n~S^Z z{B^tOuD@8taaWz+x15gsKF_B(?yB=6{d6N&t2pke^Ea%fyK$k4v3?v}ACj=SpoE!*jOYgHU~)%gR9>295>;<&5Mv(s;{$9`YM zaaWx`xRUpy2CqF9Cy|EBkSo#m#R4Ks`Ix^r#m`Y#c@}iKf0Cf_VZO7 zch&i0^Xb^_w?7yAeH?ey`8#&g-FdN!zy#nJSLE>O4DrKKET$t2pke^LMYN zJHAlGaaWyZr_b~68L8s9tIprEk?!7eRUCKK`TJ(mjg3`t+*Rktw$t6eR>g5wo&V@! zx(BAJIPR+R5BAbMxLL(io&wbdO%F;<&5MPb{ZDcY_J`~4Yb$)U-9lL!z#c@}i ze_}fwyL~*xaaWyxaxopdeLTf+SDio8OLu0oisP<2&rYA`vfo#6+*Rk<>Eot$syObd z^G~g(dwQvgEqb%t2pke^WU0G$8H}_aokns+3Dl{<6ITTU3LE3v+3CF z^F9>EU3LCD+v&c$R>g5wooA=dbJ_2!IPR+R7kcT~?c*tqyXyS+R?>Zcu8QNXI{)H$ zI`;cKpW?Wy&a>0Uy|h%taaWyZr;lg9uj06?&a>0UvD;U1+*Rj)G@tI}i7Jk}>O4Dr zp38n;#c@}iXQz*2zpvuBtIluq)3MvfQyh2I`Bzrcy}D4vaaWyxZ8F`Zkt&Y6>ingR zbnN!|{1nGsb^i6)bZ?ARaokns-`GyKxmLw-SDk04&*y$~s*2;TI)AyBj@>@*Lvh?y z=igdM_x4;B$6a-voj%WHzpvuBtIof(o^ETYisP<2&rYA`UKy?8xU0@z*-FQ5pZB3S z?yB>@m{0f1i7Jk}>O4Drp38n;#c@}ie{VS*`+YpcaaW!Hbw3@ueLTf+SDk04kNfRH z6~|q5{&$nnC?$g zRUCKK`9Js4vD@c!P#kyFd3O3Z_WLT1yXyR3#?!Ie$5R}4)%l(Ebbno{;<&5MzdxOh z-9FE!IPR+R?DTPeJ72|dSDn8$pN`!=??Z9iRp;61UMe17)(DvrDA z{0-abZd|M4xU0^8XffSQQ&k*y)%lxy>2BVv;<&5Mv(s;{$9`YMaaW!1ji>MJRB_x@ z=MSu>W4F)qDUQ49JUe~d!O<#?yXrhUeLVYp6~|q5o}E7K$V3&#T{S-T`-}fA_xtL< ze7PeKj>F++*Rk<>GRx| zR;xJfs`Kph@jslb;<&5Mv(v}3-&b+mRp;61<6fSv;<&5Mv(v}_c)N<@t~$?7AODlZ zDvrDA{KizeS9(<(chz}z`aJ*Dl`4+A>ilbS>DcY_J`~4Yb^g*$x}UCBaoknsUtdc1 z#&i|OU3H$FKA(GYtBT{UI{<>E4{L;<&5MU!F*JdAEw=t~&qL#dL2kS8?1`=h^AE z*JHo0;<&5MzjHPH)@l{UU3LEF3+b**R&m@_=iePk_wGg&$6a-voql^g_WLT1yXrhU zecZ3Mt2pke^Y5*tySiA#aaWyZr_b|$)2rgRtH#HE|Ld>ieqa5@|B?HBwcYpn=U43X zar>`+;G~M%-~Yf#)p>UMxZXk)$6a;)K>NMH-#SvoaaWyZr;q<|`}g5wojiut~!5w zHyyiuK0n2ASDk04kGprKisP<2e_uZxyM5k=;<&5MkFBP=f1!%wt~$?7pXajQS8?1` z=O5Te$8H}_aokns+3Dlh@2fcOs`DS)PRDK^PjTE;=h^Aw*zc=2?yB?b^l|L>RUCKK zd3O3Z_WLT1yXyQSO4Dr9Q%D0$6a;)blb1s*zc=2?yB>X|64lt`*@1u zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`u zcKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0U zvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pq zisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhYM%$9`XJF7B%H?DKK#_f;Hs)p>UMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q z#c@}iXQz*2zpvuBtIi+&VmfyFc#7k$I?qlY$8KN6aaWyZr;lU5uj06?&a>0UvENs5 z+*RlM-%Q7DA5U@IRp;61UMIQIK0j=SnSJAEAceHF)D zb^b*A-itf2UBz)%ooA zJIVLe<|&T5>O4Dr+@tGN9Cy|EiKTRpO;>T;Rp(EQraQG&#c@}i|K#~}kIz?e+*Rk< z>9^NozpvuBtInUknEq4CRUCKK`N^4dPxPxe?yB?b^!ePMUajJ|tIj{UkdFO6??Z9i zRp;61O4Dr{Mqp;j=Spo*`0LL>s1_g)%oX^(tTmNisP<2|NLmW=eMdj z?yB?b^xNyP-&b+mRp(!rNdLla6~|q5o}E6=omsBpxU0s;et&U)?)Qg%J@@;I>i#{y zf5lE8$9{j<=GgD!qsG7Aes6K_Z+6;T+*RY*>Er)4*J*QcSB<~cesA&Db~`@=RDch&fRZiS28e)HMp;;!!PW2cW}zd!8jx!-Spe|mkd z@87Z0$6e3wo7&uUyX}8g&GYxQ-&-8J{b8GnyK4MMKi$aHPMeFnYWxkW>26%;w7Iye z#>!+FaaK|yJf7?=Hjjzf6I2d-dd;4#a%W2z+$>v zr#fve?yB+Z^!d3zyxD1UaaWB$xRUPBT&K;&T{Zsjc)G(ooi-PD)%YXp=|-12Z7%Mr z@wZKIu-HDw}n~S?@{P=phhn6~RF7B%F4^OB2_-Loi#a%U?ojyPRC(d`; zT-;UT+3Dj?PITH_+*RXG?xuV6VyDf;T{V7UIo)G3oi-PD)%a8WbnN%}Iik(QT{WJa zKJM{_PMeFnYCJoA{OOTSn~S?@{OOH!?Dlz|XmfE_jh~!N$8H}VZ7%Mr@lR~0W4Diw zHWzo*_$L?BvD?Q-n~S?@{Fz?5Gn<_@7kAZocKSS*{r<4c#a%U?ojz`Ar_<)*t{VT; zdb+2VI&CiQs`1ZEr+ap^)8^u?8vpE8I(GZ~9MR_Dt{VUO`E=~|@zLhut{Q)KHyyiu ze6+c^tHwXKoQ~Z-KH6N|RpZ&|>!+FaaKEqb%58GVa zRpWm&pYG*}PMeFnYCJoAp38oJ*yiG{8qZE2$9{j<=HjjzztK;}ZXX|QF7B%FudJqf zb)nPd;;tJ1+GM&*Bb_!Ech&ey8|m2X^ZBFA#a%W2_1Sc9jCI;v+*RY>*iN^()@gHb zSB+<<&*y$~s?+A;t{Q*2myX>&?-Ok>!+FaaK`@=RDchz`y`nW%=b=q9qRpbA-nC?$goi-PD)%ZX6(y`m; zb3~hqyJ|c;eH{D!VVjG)YW!cu)3MvfN1KbgYW&W6y1y=U+FaaKGS#7?+@Et+*RXm*iLujTBps$T{Zqgi|KBf>a@AItH$5lOLy~Tr_IG(HJ+V5 zKld$joi-PD)%f0cy53Hw&Ba|c{=j-VcKdveXmfE_jc2EiJ2={Db8%OVXQz*6zdvkq zaaWCJr;j@_(P?vWSNHa@-~Yz(-0u(j+uZMeLp{FdzyFP$KJLP9`}faka~Im@!AFf} zr;lU5KWuYxSB-yhCfyJEoi-PD)p&OLJoly5PMeFnYCJoA{0}EPZ7%Mr@$B^R?DvOl zF7B%F?DTOj&vx2e+*RY*>EnOA-Dz`iSB+<YeeCzY_GIq&)vtdj_xozQ@AcmwW2cYX z-+m8N-2V3aq&m+|AJ=QY2P%%c>imKBdxN{R{T`?|?yB?b^l=|5&!;;+QN?jr zoj<;tj@>?=pW?Wy&a>0U-8)moaaWzcub+zdy!S)7`&N#c@~N z^V#Y1T=x4aj=Spo0~_hs?c-y|-#mBx;PpK}KRbOK`+XJ1U3Jg@*mgR0`}o-LH_shE zczw_3v(v}1-&b+mRrh>$`Z)IcDvrDAJUe|H`+XJ1U3LDE@pSC=@f62hb)KC*j{Uxh zi(UVq&Yx~O6&(A070+FDo}E69-M)(Bt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6 zak1+k)OmLLIQIK0p1bNiJAEAceH9nG{z08*r;lU5uj09@&a>0UvENs5+*Rk<>Eqb% ztGL+p59&NSeH{CJ6(76)L7iu(k7K{D;$zo8sPpXfaqRb1Ja^T3cKSH>`znsR>O4Dr z9Q%D07rXvJooAO4Dr9Q%D0&s}w%oj#8JzKV-o|DevZ)5o#jSMl6c=h^Aw*zc>j z*!2(UJUe|H`+XH3yZ%9)XQz*2zpvuCtH#HE|7%xszps9MHTU~!yYKbS|Jdo{*zc>j zf3*KxROi|0x7TC8uj06?#>Y+{$9`XJF7B%H?DTQ$_f;Hs)p>UMIQIK0j=SnSJAEAc zeHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}i zXQz*2zpvuBtIi+&VmfyFc#7k$I?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*RlM z-%Q7DA5U@IRp;61UMIQIK0j=SnSJAEAceHF)Db^Zk3 zdz(M8UBz)%ooAJIVLh z<|&T5>O4Dr+@tGN9Cy|EiKTRpO;>T;Rp(EQraQG&#c@}i|K#~}kIz?e+*Rk<>9^No zzpvuBtInUknEq4CRUCKK`N^4dPxPxe?yB?b^!ePMUajJ|tIj{UkdECx??Z9iRp;61 zO4Dr{Mqp;j=Spo*`0LL>s1_g)%oX^(tTmNisP<2|NLmW=eMdj?yB?b z^xNyP-&b+mRp(!rNdLla6~|q5o}E6=omsBpxU0s;e*f!V&;7pojnCwMUv2ljzJJ9& zAIE-Q#j)F0oqxam-s0Hrt2pke^X&9-f19h~xU0@z8&7v_r;6jQI{)|ebnN!|92Cc0 zb)KC*j{Uxh!xU0@zx0~+zi&Y$V)%ktP>Dce{ ze2U|)IzQ4+H*&R#(-SDinyo^EuhisP<2f7^7rqoY+Ech&i$Tj_2;U&V1(oj*38j@^FybFtsYaaWzc zV>jKM7ppk#s`DRNPS>BQ;<&5Mv(x8u-*vT$UMJpZ1NDvrDA z{5>1#?mbtUtIm(Fr+a9risP<2|L}CWkB?Sy+*Rk<>9^NozpvuB ztIo62$DN$0;<&5MpWIFN=*23IyXySJa=OQ6syObd^QZdh*zNOkP#kyFd3O4^#}}$N z?yB?b^zo-hsyObd^QSk`vD@c;D2}`8{N!vpcKdjW2%MI zR&m@_=bzn5$8MjWgW|ZW&VPPB9lL!z#c@}iKf9Zb-9Db;xU0@Tx15gMKAz&ZtIo62 z$Fbj6aoknszcrbT-9Db;xU0^y)5o#jS8?1`=f6Fhj@>?<;<&5Me`h=0ch{;o?yB?b z^m#7(eHF)Db^byx9lL!z#c@}i|K3Wv@6T0n+*Rja98bq?pXXB?ch&hHtfzZvsfy#S zI?qm@=d#~daoknsf4G&7{XU-JxU0_pXg=M`6IC2{)p>UMJom>Jt2pke^X&BT?Dth1 zch&igemZvhc#7k$I{(UQx>pyfIPR+RuT7@AG*ZQJSDnAKk&fLypP%BmtIoeZo9>OV zDvrDA{2SZpHrJ{+?yB?b^!eOxPE~Q-Rp&4F(y`m;eJGB*>ik

E52J;<&5Mv(x9f z?Dth1ch&iK*3)e*RdL)^=h^A=+$*D19Cy|ED_iNyXyQO7t{S|s*2;TI{)WhI(GYf4vOQh zI?qlY$9`YMaaW!H%Xm6=`*@1ut~$T7p6;(pRUCKK`S+*OvD@eQ6vth4o}E69-M)(B zt~!5hJ{`M#JjHQWooAUM_@69RaoknsH>T3P(yQXQtIo62 z=lQR$RB_x@=UEnL2UBz)%oqumF-POe^ zj=SnSJAI!2n_d;iT{S-T`(OEQx!+g6`jy=8tL?tmKfhw9kK2Fs11DA7{`UK%I?qlY z*ITIKxU0?|Xumi3TSuxm?yB?b^zk2Vf8Q#OyXySG*>s1-syObd^M|(69d3W$JfGsY ztIi);Ovi2?PjTE;=h^AwZriNlxU0?|T}gNQTouP%b^h3Rx??+49Cy|EJJ!?Pxm3k* zSDk04-(HXXzKY|nI^W+)f7kgcj=Spo-Sg>=PgHT-Rp*cIren9y=chRCs`Kphare$t zaokns@9U>yx6k`f9Cy|EvDI| z`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5 z+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2 z&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UM zIQIK0j=SnSJAEAceHF)Db)KC*j{Uxhe?kw)UM?e*C2 ztGL+p4{Chu^l|L>)#h{8KdAHU^l|L>RebFF2X&sEK92pqis!C6&rTo5eqY6LSDk04 zk7K{D;<&5Mv(v}1-&b+5>mSs4cKSH>`zoHh>O4Dr9Q%D07rXvJooADcY#W5?edciw04`dUMIQIK0E_VHcI)9>l@5P0UKg9RdL2=ww=O1q0 zdvWadRUCKKd3O4^Pw;(pP#kyF`A6o`o#gv!^AyKjb)KC*?$Pxsj=Spo#8SG)rmHya zs`IBt)1BI?;<&5MfAV~~$LFg!?yB?b^xNyP-&b+5>mStl(-+f!YPpK%t~x(ClkSOr z6~|q5o}E6Q`_rpc9Cy|ECl}JO+vj~Kj=SnSJAK@ljVg}2>ilQUrTgq`6~|q5o}E6= zpW3eCxU0@TwU+Mb#VU@w>ijcP>7MOXaokns+3EB7KetlFaaWyZr;k57Ud6?(e^BSo z?xdSuuj09@&Of)5?hDgZ9Cy|E=SR~$zg5L?SDk04-(HXXzKV-o|DevlFp>U+-722D z>O4Dro;$N##c@}SkNy5v|KHs2t6%%SbHA^)`(FS2kDWe_{l1E0zppy~KEJokv)@;7 z+*Rk<>Er%3SH*Exoxe7o?%GZj$6a;)@9XK&w#c@}izhygJZ>@^st~!5U zG2N|GRUCKKd3O5k_1N#LIPR+R2UpS`nyccttIi)DPj`5yisP<2e`Gz~=u#EOU3LDp z>2ya&t2pke^GCPR-G07`2x0-t>U<=&a=~Rug890#c@}iXQz)lIZ?%NSDin(o9@wz zRUCKK`HAIpkIhtZ+*RjK_0zH2=jWg}?yB?b^l^_bRB_x@=h^AwPmfe_+*RjKZ=_?l z&-+juch&jH*>vpo@f62hb^eL%bnN!=6vth4{>jC3?Dp{#$6a;)OfTJ;%_@$&>O4Dr zp38n;#c@}iXQz*w+Nt8WtIj{Qp6=+(o*k{?xU0@TyOoaJK0gP=aaW!H z{Cqlg`*@1ut~!5qHyyiuJjHQWoqujQ9lL!z#c@}iXQz*2zpvuBtImIGG99~pJjHQW zooA@br#SAa^X&9-FD+GZ+*Rk<>Eqe&t2pke^X&9-?Dkb0 zch&hH&8K^LqKf0LI?qm@=d#~daokns+3Dlh@2fcOs`DHDbnN!=6vth4{*~2quP#(^ z+*Rjan@o3Uq>AINI)7;+9lL!#KgDrZoqv5c-5X<79Cy|EH@4Glu2pf|Rp;61^SR%g zs^Yk-&R_1OW4F)yP#kyF`L|Zmy**dOaaWyZr_XcQ@2fcOs`Kxxr`uYp;<&5Mv(x9f zS4OKi?yB=ww$icN=Y1%SyXyQe=F|OhqKf0LI?qm@=d#~daokns-&;<{ZXZu^+*Rj) z-A~7EA5U@IRp;61<9@qP#c@}i|J`J|-;Y#r+*Rk<>GOQ{`znsR>O4Dr9Q%D0$6a-v zoj&dlYgHU~)%iazru)-W6~|q5{?EO1?DqK_6vth4o}E69{l1Fht~&ph@pSC=@f62h zb$(|(-CvigIPR+R?@y;=x6kt_j=SnSJAEAceHF)Db^h9XI(GYbisP<2|M%T=?Dz2$ z$6a-PcR3xqeLTf+SB;OIetSLk`)YG>SDk04kGpQ6isP<2fBj^-eIr#Ich&iQ8|glH zu8QNXI?qm@&(D5e#c@}izhOJwjcZjLch&h1EvCC^s*2;TI)8I7-OZa-9Cy`ucKYr0 z*zc=2?yB>>@$|i&DvrDA{DJj!?Dly+#c@}iXQz)lI9kPVSDk04k7vKH;<&5Mv(v{N znW*BptH#HE|EvF!`~6{ml>7a!s{gX*zyFP$KJLP9d%oJ-1wM~zJUe|H`~6{?i@R$4 zi!EnMm*=cieSB+<Y;$o}jc2EidwI6g z=Hjjz&rToz)N`jlVpR?(%M@&Ba|c{;iAY-d^ssxwxywv(xA2f2ZGRb8%OVf9Gntt<_GOi@R$4 z&ll2Nne4Q=xU0s$JCg3*jZT}3yJ|c;eSZF5&UV^d+*RY*>EnO3-Dz`iSB-yfE#1|{ zPMeFnYCJoAp8uO(r_IG(-P^~0|I5d7zd!76bHD#(_4uCu{ED4EZh!kd7`D0n?e_^E zHJ+V5uGfAKhHWnHs__Tf?+xzO_IogFb8%OVXQz+*aQi(Nwz;^g#vg3IH@HLX_h8uO z;;tHhsQupH4!7TfVVjG)YW$J*dxK-QKWuYxSB+<;p-R*OoHWzo* z_+#Vgj_q{XT-;UT?^sWF=TfK5#a%U?ojyN*f3(x);;tIs-%5Aa`A(aQyK4O1^XZOH zblP0pRpXEEren9y&k=1d?yB+Z^l|sjblP0pRpamLr(?Iz`$U_IyK4N{YP$OuI&CiQ zs`2dfc`p0?VVjG)YWxEm>DcY#qs_%#HJ+V5j{W|y&Ba|c{$tze*zMz^&Ba|co}E69 z{r<4c#a%U?oj#8J{;hixwIs`2dfaqRbp zZ7%Mr@$B?*?DvOlF7B%F?DTQ$_lIpR?yB+Z^l|L>hixwIs`2dfaqRbpZ7%Mr@$B?* z?DvOlF7B%F?DTQ$_lIpR?yB+Z^l|L>hixwIs`2dfaqRbpZ7%Mr@$B?*?DvOlF7B%F z?DTQ$_lIpR?yB+Z^l|L>hixwIs`2dfaqRbpZ7%Mr@$B?*?DvOlF7B%F?DTQ$_lIpR z?yB+Z^l|L>hixwIs`2dfaqRbpZ7%Mr@$B?*?DvOlF7B%F?DTQ$_lIpR?yB+Z^l|L> zhixwIs`2dfaqRbpZ7%Mr@$B?*?DvOlF7E2yKKA=xzL@)c^()J{-&fmxul-2u^l|L> zRUG?$)p>UM?e*C2t2pke@v+m#vENsli@WMPJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1 zyXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns z+3Dlh@2fcOs`E#`n2y~(p5nNx&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1ch&j+ zH`B4($5R}4)p>UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhTU8u))%j1JPxttI6~|q5o}GSs zJ@)%5j=Spo>5J(5KONr_tL$;S;cWzooA=dbN@D1#c@}izc!xk+D;Y6U3LEN>*?6-^EoJv zyXrhUeH{CJ6~|q5{-0anVz=M^T<-Xr=Z+t|zSrMh?DTQ$_toZd*FUIve(dyd*I!Ie z@z?EEo!_^dj@>@*6FdIqx${1Q*Z2JVBmHzESF1Sgs(bzotLbiBsN%S*&a>0!bF<%9 zaoknsZ`w%5ZXX{z{^q&k2e0q>^Y5Qccgt86$6a;LzhygJZ>@^st~!5UG2N|GRUCKK zd3O5z+#lYo;$qi7sPhL`(jA(s;<>BNA0AJ4c&Ccvt~!5YJ>BS16~|q5{ipPt zy8G9vIPR+RA6-oMz*H5-U3LD!Ub+W2t2pke^X&B7>#^TgaoknsPmHHOu~WrySDhbU zPxsJL6~|q5{^99#A0MsaxU0^y({HcGeqY6LSDk04k2^V0#c@}iKe?Ok(Ti0ach&ic z<#dnDRB_x@=TG(1vD@e8h#i0P-0_3g_x$H4JAK^a3soF<)jgk`KK}Gb6&JhyL7hLn zk&fLy??dt2Rp%#X(>*a(#c@}ie_}fwyM3NdaoknspIl7GZXZu^+*Rk#^wOQ#tm3$< z&a>0!x$O5<9Cy`ucKW!fohpvI>ikpd>7HJy;<&5MKQo=~+0iPFyXyS2Tj|*C^K-58w~wbd?yB?b^l|L>Rb1@) z2X+2ilj+#)<0+oI>O4Dr+<%;_;<&5Me|t6^yM5j#cKpqA#}8iL^Y8CF+v&c$R>g5w z-SgS$^IZ1(DvrDA{DodRcKdjWsyObd^X&9_?vF24aokns+3Dli z@2fcOs`DHDbnN!=vEy%^JAUx`p1=REtfqT)p^D?Ky63+(neNg^6~|q5{?bM|cKdvO zisP<2|N3k?_WO8>O4Drp8Muh6~|q5{&FuJyM5j#cKpqA#}8iL z^Y8Dim2_{JL~DTmZ~`Js(b#=r_)^-t>U<= z&R^L|$8Mj`PjTE;=YKJu?w1o)9Cy`ucKSS*{l1Fht~&qTayoYVc#7k$I{)i_I(GYb zisP<2&rTor+l4BQyXyS!Ce!_Xq>AINI?qm@=d<5eaokns+3Dlh@2fcOs`Kphaer8= z;$qi7sPlhZO!ud$DxSOQ{GWU2*zNNio`n zy1y<}aokns-=9v$ZlC8<9Cy`ucKSH>`znsR>io6&bnN!=6vth4o}E69-M)&8UH_oY z?=Gifw~wcI?yB*z({HcGeqU`acm0Dp&rTnA-9i=5U3LEY$#nZhsyObd^ZPc^eehfr z$6a-voj#wR{l1Fht~!6icDftasyObd^B-DFchgiA$6a;)=3cs+H>)`As`Kph+v~C4 zS8?1`=X>MndplJech&g=>*?6-^L&cqt~$?7A9rxHisP<2&rToDeqY6LSDk04k2^9^ z#c@}SkNy6a|4Z)o)vtUq_xozQ@AcoGVyBP0u-pFq^Psp3e4as_XQz*2zpvuBtIoeT zlkNxoDvrDAJUe}!`_gI^$6a-voj(4DlT{pd)p>UMc=r1$j=SnSJAK^CvsD~-)p>UM z_#baqaokns+3DkdvRK7&SDoLOO7}{yisP<2&rYA`zq(SzaaWyxZ7vip|V>E4*G;<&5Mv(x8uZ*Em_+*Rj)c0S#k^Hm&o)%nX4=`Qb9aokns z-@2IY?d2+tyXrhU{q}n7_f;Hs)%ka>rr%nv;<&5M|9m0cmB}iOyXySABkA7VsN%S* z&a=~Rug890#c@}i|J7LfUu{=$+*Rk_TT6F!v5MoaI{)jbbie6UaoknoW52)fUvs~& ze(68weqU|(z5e+X`+VH~s~b+_ zaaWzcdp_Oqi7Jk}>iqHDbnN!|{1nGsb)KC*?%tUyj=Spoef@Oo_IV$QO4Drp38n;#c@}ie_$gWyL~*xaaWyZr;q#CSQW=zb^c@9>DcY_J`~4Yb)KC* z?xCqFj=SnSJAFL+eHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`u zcKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0U zvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1T{S-T`(K*K z{r<4Kx!?biIXuh{A1*zXV9+&}pFRpZ&|Hy8W;VVjG)y0?#=K92qVu)De6Z_n53 zdwqY5oj#8J{;Eqb%58GVaRpZ&| zEqb%58GVaRpZ&|Eqb%58GVaRpZ&|>!+FaaKEqb%58GVaRpZ&|a@AItHyuwe7eWy zJ8drRs`2df`T76TZl}$~T{Zsn#dM!q?zFkMtHw{xq)N`jc2FN=l}F-r_IG( zHU7zkbnNzdpJ;P&SB+<)N`jsMKKbf2B=w7Iye#7L)}w7Iye#0a3Fw7Iye#pFa1Bc-&eo`znsR>ij>q!o_aC{kbTP zyJ~#w^l|L>)#l=^I)B}6y6Z1iaokns_bsPmx6kt_j=SpoNI%`k)hdp=>ii9>>26%8 z;<&5Mv(x8uv)@;7+*Rjq+DON4A5U@IRp#^Tgaokns53Zy?G*`uOSDimRp6>8Y6~|q5{>XZ|(WNSmyXyRH)9H?m zR&m@_=Z|isyZw9>$6a;)*nB$n`|Z!gejmqOb^easba!5?;<&5Me`Gmbf2NA#t~$?7 zpU-{Q)hdp=>ipfS>5eZ{aokns+3EBAdq%1_?yB?mY^1yQTouP%b^gBDbYo*x9Cy|E zvF&vCuT^o}Rp&ptnC^k8DvrDA{DZx84{la*+*Rk<>9^NozpvuBtInSoPk&;kisP<2 zKfa#sp`|L0yXySI)9F4wTE%f!ooA=tUXT60isP<2&rTnAa-xdkt~!5mH{GKbt2pke z^ApSI9-FD+xU0^e>ZfD3&(A?|+*Rk<>Ej+>sN%S*&a>0UpB|~=xU0^e-blx8pZB3S z?yB>Xv+3CH<0+22>iiSi>DcY#DUQ49{F966*zMyfj=SponO?dxn^hcl)p>UMJeU2x zisP<2&rTmVwNu4$SDk-qJ>AnwRUCKK`DdonJv&;(aaWyxb}JpbeSQv#GNFn`znsR>O4Dr9Q%D0$6a-Pqo0o5KAz&ZtIof&n(ozwDvrDA z{A-ixE{#-i+*Riz&!&50tcv5VI{(IYy3MsJj=SnSJAFR)n^RRB zch&jJy>#sMc^``7t~&qLO1ih_syObd^X&6^F1vjd$6a;)o%M8EOH~|q)p>UMJon0I z6~|q5{>oN5cKf^!#c@}i|HXW|UrtnU+*Rk<>GNFn`znsR>im1l>DcY#DUQ49{IC1z z*zMyfj=SnSJAK@57pge!s`I~_O!xbdDvrDAJUe}!&wgLUaaWyZr;lU5uj06?&a>0U z{b8+&&pM&DKtIo62$Fbj6aokns|1zGA-9Db;xU0_Z ztf%|yQWeKtb^iV7bnNzdKE-iYooAUMe17)(DvrDA{0-abZd|M4xU0^8XffSQQ&k*y)%lxy>2BVv;<&5Mv(s;{$9`YM zaaW!1ji>MJRB_x@=MSu>W4F)qDUQ49JUe~d!O<#?yXrhUeLVYp6~|q5o}E7K$V3&# zT{S-T`wQ>peqa64|CRfFwcYpn_rI~z$6eTM|Np;(;x6!c26djDK92pqisP<2|Kd!# zAM~p@?yB?b^m*<}t5qC#)p>UM_#aMIaokns+3Dli@2fcOs`KphaWBtSaokns+3Dkd zyj{g{SDk04kN?SH6~|q5eq$=#E4?a?yXrhUeV+g7N)^Xlb^f)vbnNzdABy9yI)7;= z-A~u6IPR+RuP>#0W4emtt~$Rtnr?HeisP<2|FiSy-kh)ExU0@zo=A6jw~FJgI{((i zbZ;+Laokns+3B~}W52KBxU0^;b2a_eY8A&_b^hlI>8?yxaokns-yKQ!?nV{IU3H$F zetSLk`znsR>O4Dr+^@E)IPR+R@2#b~x>&_=SDk04&+~uNtKzt;#>amD-~J`{`@{Yy z_xt~*{>z^K{ED4EZvWK}oE)~f{r&botH!g_$MqIEZ7%Mr@dw)P4gS`VPMeFnYCJoA z{D<4$_pr^yT{ZsTY`Q~Zoi-PD)%Zj0_lD;lZhzmyHWzo*_#^H22FGrH*yiG{8qZE2 zciU#C&Ba|c{^&}&+vhrMF7B%F$Hvng+v&8qxU0tBv7YYErB0iRyJ|c;eSZG_Xs6A^ zT{XVHmF}+doi-PD)%d&T(;c7aw7Iye#vk8J$8MjWBidZtRpZ&|9$|NvELuIxwxywv(v}1-ygQQxU0sq)5o#jAGW!; ztH!g_$FbiZwz;^g#Rop+?e=e%??DX5~vENs5+*RXapO0g|uQnHV)p_>$ zIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aoknskA5*7yL~*xaaWyZr;lU5uj06? z&a>0UvENs5+*Rk<>Eqb%t2pke^Zjq8W4Di|IPR+R?DTQ$_f;Hs)p>UMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhintE zbf>neIPR+RpFE%L@%bu_yXrhU{q}n7_f;Hs)%nvG(|>BYisP<2KRJ``iGCHwU3H$F zKA-#3t5qC#)%hnE(y`m;eJGB*>O4Dr+?kCkj=SpoXU?Vj>}(asU3H$FKF^=puHv|> z&Of!5?&-xUj=SpoGgIlF?NxEyRp;61^Z7rwQpIssooAy@AuNZzgfj`SDk04&vXAaSH*Ex zoxe7o?%GZj$6a;)@9XKtIo62=X0~)S8?1`=Wp6b$8H}VJO1Xm;|H(r`Sb6eO?S&!6~|q5 z&%b3mU2m<5UM{M;Yjtm0zVKdAEuSJEAttKzw<&L196cX+3Y z)`As`Kph z+v~C4S8?1`=TD5MKe1EAaaWxmUr+bYQWeKtb^hV$bRQqB;<&5Mv(s;{$9`YMaaWyZ zr;j^1QN?jrojE+*Ri%XVbCU$H$JpdG7eZ>wEtEPi&`S zw~wbd?y7tKlZ)xt?c*tqyXyRzUb-`zRUCKKd3O3dm;JtqLieva7jH_shEczw^m|Ig2-W4Di|IPR)@{@LAh z?Dp{#$6a;)x#e{1_VEC_`&OY{{4MtJKcBJsyObddpv;cRrmbYCevLSsp7b+&R^O{$8Mj`PjTE;=U<;q$9^AAaokns-`GyKxmLw-SDk04 z&vW0Ls^Yk-&R_1OW4F)y#E!pt?)bs$d;a~swUX}bxhjsk>YmR|pXajQS8?1`=igaR zx3yHoaaWyZr_Xb*j8<{nRp+m4rDM0x`%oNr)%jn{r~BnZ6~|q5o}E6=WxucDxU0^; zx15gMKAz&ZtIq$rpN`!=p5nNx&a>0U{dS>>0UUAIuhb61_e zelp#@kt&Y6>ioWqbRRrd#c@}iXQ$8SXTPuFxU0_Ju$}J4wJMIg>imZm)7>;x#c@}i zzqyz0=FKXOyXrhU{q}n7_f;Hs)%o6d`rb|z$6a;)zU<= z&a>0Uv)@;7+*Rk<>En(}RB_x@<72=7Z_np`UtPF8_xozQ@Aco`VyBP0u-pFq^Psp3 ze4as_XQz*2zpvuBtIoeTlkNxoDvrDAJUe}!`_gI^$6a-voj(4DlT{pd)p>UMc=r1$ zj=SnSJAK^CvsD~-)p>UM_#baqak1+k)OmLL_@69R@!VDCH>T3P(yQXQtIo62=lQR$ zRB_x@=UimKBdxO7qq>AINI?qlY|Kaxct>U<=&L5mjcWA7N;6DUQ49 z{E@|UqwVh-PjTE;=h^AwZriNlxU0?|T}gNQTouP%b^h3Rx??+49Cy|EJJ!?Pxm3k* zSDk04-(HXXzKY|nI^W+)f7kgcj=Spo-Sg>=PgHT-Rp*cIren9y=chRCs`Kphare$t zaokns@9U>yx6k`f9Cy|EvDI|dY|0Gs^j@I*ezTfM$-s`5Xt7rCm&0~F6_cY?# z?AK;GcKdjW?<;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ z6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcO zs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZ zr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke@v-0k)_CsshuzNo{g&P9Mj9 zf7s^!$YeeCpc?DvP=&i#ISzFyz!`(y0%aqRbpZI1muK5CxN zP9Mj9f7s^Yt{TryAIE-w*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Y zt{TryAIE-w*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w z*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{Q*jrF87}@zLhut{Try zAIE-w*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIs{dPKb`}k;caaWCJr;lU5KWuYx zSB+<7JM!X>)N`jXyq~?)dgdn~S?@{AbRldvbB4&Ba|co}E5F|Npi-(&pl> z8h_$^y3ekTw7Iye#!t_sd#XFq=Hjjz&rYAu|GD*%HWzo*_@|fBvD@c;qRqu!HJ+V5 z?&Ri3n~S?@{O8Z4`@;N4n~S?@JUe}!Khqy+b8%OVe`X`yv&$oGF7B%F&&{Me)fs7X zaaWCJr_bmA;@U`?i@Rz(JAM4=$&oe}ch&gQJLzV7BW*73s`1aSr2F#hNSlkhYWxf1 z>0a0#X>)N`jc2FN&;M_WBW*73s_`#QrF(IAq|L=$HJ+V5&!1ZzX>)N`_x7>h|JMJT z`+fEQ{8sMw)pp*%%(dsUd3@&ojO6~|q5{*$Zex^q<=chz}z`h4y?FIREgRp;+oPj~lH z6~|q5o}E6=zh|t9|ohgYgN?yB>T%%*#E zyo%$lI?qnOy&n606~|q5o}E7K*i;qAU3LE0Zo0?MS8?1`=ciWFJuz3saaWx`-c84D zpPz%`xU0^y)5krzRK;;uooADcY#DUQ49{L{UMJeU2xisP<2&rTmVvs1-!SDk;R zm+sk>DvrDA{ByJEPK{S_+*RjKZKq?m&(A?|+*Rklw3v?FKAz&ZtInU^O~-B@PjTE; z=bv9q$8H}_aokns+3Dlh@2fcOs`KBOPRDK^PjTE;=h^Aw{_9K?$6a;)yYuPT?ejhq z$6a;)d;N6Z->BlatIo62=eg|nRUCKK`E#9g?Dp{#$6a;)2W#nmxKPD$SDk-(G99~p zo=*;<&5Mv(v}3-&b+mRp;61QoiSU3H$FKF?*p zuj06?&a>0UvENs5+*Ri{yXn~N<0+22>ilc#>0V!|;<&5MzcHQe!dMl@U3LD#W;%BJ ze13}Kt~&qbe7d(LsyObd^KbRjZEaL>+*Rk<>GQeYo~h!vtIl8Sq+_?w`%oNr)%kbU z(!IM-#c@}iXQ$6|+3%}3?yB?e_0nyxRB_x@=h^A=+)LwC9Cy|EOWWz#?ejhq$6a;) zSBvR>JypeVSDk04&vV)Dt2pke^B=CJW4Di|IPR+Rzv-r9w~wbd?yB?b^l`sis^Yk- z&i{To-5DolM7WA5U@IRp)nl>HfA-#c@}i|7bQHyM3Nd zaokns+3Dlh@2fcOs`FPC)3MvfQyh2Id3O3Z_WLT1yXySzYC3lNc#7k$8Xr6T_Im91 z)#l=^I?qlYchyoA$6a;)>gja*#;Q2(s`L9c(|!C*6~|q5o}E6QpZ&gy4)%i~>r@L;ZisP<2e|;z2^;=aOchz}z`t9}D@2fcOs`H)6^qrk5j=SpofnGXx z`#hiGxU0^y)5jeguj06?&a>0Uv)@;7+*Rk<>Emvms^Yk-#>amDKYx(>ef3-aJ@@-+ zyYKbye`BYQJGa~Z|9=O?o#XQi>O4Dr9Q%D0$6a;)<+*e}>Q-^wRp;61^W0a~t2pke z^X&BTKc24QxU0^y)5o*lS8?1`=h^AwUY)PvxU0^y)5rg`U&V1(ooAO4Drp8xt<6~|q5{*8rn?DlydisP<2e_88?yB<_r_x>At>U<=&cAa$-Mgz*9Cy`ucKYr0*zc=2 z?yB?eT~5EfUd3@&o&V)hx=Yhl9Cy|E_s7z`zgfj`SDk04-(HXXzKY|nI?qlY_d&mk zUMJpZ?yDvrBqeC+qX`F`&A)tCOC-0!RHzSlp$VyBPWfB9p_ zRNVgV$BwDav(v|QmZ~`Js`Cfh?+yOOu_}(c>O4Dr{7voeTg7o#oj*9A?$AUP$6a;) zP(R(__V>;6DUQ49{LRbh*zMyfj=SnSJAK?OTU8u))%hc9>26)9;<&5M-!_@xf1RB_x@=h^AE*JHo0;<&5Mcem5udA5q!xG3&-+juch&ic^>p_yRdL)^=h^A=T=x4aj=Spo1DomC z?c*tqyXrhUeH{CJ6~|q5{-J(4cKdjWRUCKKd3O3Z_WLT1 zyXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns z+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY z$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)Ic zDvrDAJUe|H`+XJ1U3H$FK92pqisP;tAN&26R&u|u{^xA&_tkda>-$&i^l|L>Rop+@ z&#yYqPQSe#`+XJ1T{S-T`8f9bYIAW{ooAnqW52KBxU0^y)5o#jS8?1`=h^Aw*zc=2 z?yB?b^l|L>RUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}i zXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr z9Q%D0$6a;)$V=(i?c*tqyXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}i?|wTS zyL~*xaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1ch&i$?Rzio zXupc%t~$?7AOA4lR|my$SDk;PeecCR%J zQyh2Id3O4^$9q*Ach&i+m2^+cR&m@_=Z}x4JHB1TaaW!H%-M8LE>>~eRp;61x7TC8 zuj06?&Yw7+{A7@Ib*nh;s`Kph`P`pduj06?&Og1Bj@>@*Lvh?y=h^Aw zPHt9l+*Rj4e0! z^M7%zisP<2&rTnIda{b+t~!5uC*5qXisP<2|NKh2FV9wS+*Rja7*F@Yb`{56b)KDm zdp-91DvrDA{EJiRU)-(YxU0^y)91N!t5qC#)%e)&|K}aK-yima-0%OVddHsMzhbA4 zW4}LabL{u=QR6>qzqdGc`@=RDchz`y`nbO@jI_D9tHxhxzqj}+J0oo_?yB+s=%r(~ z&-+B1i@Rz(JAEAc{b8GnyK4Nuw!_74zxix)aaZ^DvD3$~-yima-0!!)KfS)!_wU&0 zOR~-S$7L=K1^D?=6nq{;8@QGX>)N`jc2FN z=Vre@Y;$o}jlXU)9lL#ew7Iye#_yj`cf-U;n~S?@{0;qdosE$;7kAb81Iy`doEd3z zaaWDMsgv%et&uhtch&fVYv~RxjI_D9tHvLmOm}!^q|L=$HU8#ay785fHWzo*_*-Vv z9T^{Kb8%OVKeC#Z7%Mr@t<5x*PR<_ zb8%OVXQ$8SzVq@(n~S?@{9Wtm?p_*cb8%OVXQ$8e?-?6ub8%OVzh^Vuy=O++T-;UT z@0(9IF)`BS;;tG$(NA~(#z>osyK4NWmeV~jGt%bbt{VSfC*6ZvBW*73s`2df`QQIT z3nOhV?yB)eC(|9>8EJEISB;!f41kB>GNch&eGtfl+m z!bqEoyK4N)lj+#)^ZaOYaaWCJr;mGOWu(o;T{WJaKA!#lu+7C?HJ+V5j{W|y&Ba|c z{wIs+UY#0gb8%OVXQ$6|+3yeAT-;UT+3Dlh?+@Et+*RW@yXn~NRT{Zr-^>nW< zjkLMAtH!@Eo$kWeNSlkhYW#)GbnN!|{L$v(t{VU5e7d(LM%rB5Rpa04r`y^XX>)N` zjc2FN=YD%;q|L=$HU45J9lL$rC)!-xRpZ}TOZV==NSlkhYCJoAp38oJ*yiG{8vkA| z-S)~zn~S?@JUe}!due>6&Ba|c{?c|jcKf_fw7Iye#{X(D-LI!c+FaaKX`vbkc*S~*=oj&fWrFed}IrjVbsCoX?)9Ln&jkLMAtH$r!O!x6KBW*73 zs`2df`TXqnhixwIs`1zK(_OnU(&pl>8vlvqbl1&{w7Iye#$Vq_cm38#n~S?@JUe}U z?i&_H+FaaK<2#e-Iy)n6F7B%F2YTt)?ejUJ&Ba|co}E7K;P^fS!~`!7A3`~6}6SMK*;QXk#(-~Yx=A9rrI{rhLNxpVFF;G@Q~ z)5o#jAGW!;tH!@Pm+nX1kv121)p&OLJolCLkv121)p&OL_#aP?w7Iye#=K zxwxywv(v}DIzQ6p;;tIcP9Oi%{z#jPyJ|c;ef-asN7`K6RpU2j(!JIhX>)N`jc2FN z^Iu;ZX>)N`jelby9lL$rC)!-xRpT%0r2Bbqq|L=$HU7<&bZ^a$w7Iye#L`soh0-vd0waaWzcc{v@seLTf+SDk04kGo~7isP<2e`GD)tqWBg zch&jZCez)vQ^j#toxi=8?v9lzj=SnSJN@>0?Dth1ch&jscKSQdR&m@_=kHofclT5k z$6a;)?%j0k_WAr2$6a-voj&f~xhjsk>im7(bnNzdpV;v?&mBK_eb4{=m{?DD|56pl zU3JfAr_XcQ@2fcOs`C$Qren8{j~##W-0_3g_x$|q^l|L>RUCKKJ^!J8I(GZ`*zq^d z9Y1(|&*!t#$Fbj6aokn+e0KUc_WLT1yXrhUeH{CJ6~|q5{;|n)?Dz2$$6a-voj#8J zzKV-o|DevFXgd`g`+XJ9U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1 z-&b+5>mSs4cKSH>`zoHh>O4Dr9Q%D07rXvJooAj*!2(UJUe|H`+XH3yZ%9)XQz*2zpvtB*FUK9?DTQ$_fUMIQIK0j=SnS zJAEAceH9nG{z08*r;lU5ui|6ZKdAHU^l|L>RebFF2X&sEK92pqis!C6&rTo5eqY7K zu76PH+3Dlh@2mLO^$+SiJAEAceHG7Lb)KC*j{Uxhi(UVq&a>0UvENtm+*Rk<>Eqb% ztGL+p59&NSeH{CJ6(76)L7iu(k7K{D;<>BF$A14CJGtLizuC+EzS{14{qsL|`Z)Ic zD(;`{e=n-@?DX5~vENs5+*RXar;lU5uQnHV)p>UMIQIK0j=SnSJAEAceHF)Db)KC* zj{Uxh zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aoknskGzzQ-9Db;xU0^y)5o#jS8?1`=h^Aw*zc=2?yB?b^l|L>RUCKK z`R=#VvD?Q}9Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWx` z%J<&pkM^rL?yB?b^zje#J$6tWch&hv_}<&xqwV{uisP<2|L9h_PxC#ty${84SDk-s zA>A>)$2L!K+*Rk<>Ej;nRdL)^=ciWEJuzFwaaWx`KA!IQb`{56b^bGF(>=LZ#c@}i zXQ$s@kNv)i&ObMk?o_9W zJ#kDGqyXrhUef;UkDvrDA{OO%^v%M;gyXyS&E9t>g7o#oqu6G-3!}Q z9Cy`ucKYr0*zc=2?yB=IPNjcww~FJgI?qm@=gzHGaoknoW555+|10Ps)?eqU|( zy}p0NJ|D+^U&XQ8SDpW;{odl(@2fcOs`KphaerT^;<&5MUztpIWv7bct~&pZUOIOB zd=84^t~$?7AIE-Q#c@}i|JQc7*zLDJ7sYW`jgNgkj{UycT-;UXui8y__4z7}yXySD z)pYFlc|OH)SDhd0rW?Cl#c@}izh*t%wM$hTchz}z`h0Ho`znsR>il(^>DcY#DUQ49 z{QmiLH%wG<+*Rjq=%?#!RB_x@=MOBWyK$z9+raQb-#c@}izqyxge5H!xt~!6qY`P=kRUCKK`6JuuZarJYaaWzcZ806Y{r2Z# zzmMatI)D3ax;xHSaoknsKe?K&J6FYVSDk04&*#4Lauvs2b^fmPbayXRaokns+3EBA zd&a6b?yB?mY^J;SOclpnb^gBjbQ2R*9Cy|EiGI5KH>x=9s`H;(PWQk}6~|q5{=rVV z2e+y??yB?b^xNyP-&b+mRp*aRra!t<#c@}ipX{Z3c%_Qtt~&q7Y`RCst2pke^X&B7 z>#^Tgaokns+3DksO;vH+Rp*cGrhEK+6~|q5erh${6LVD@ch&jh-E{2s`8g<#yXrhU zecY2vRUCKKd3O5v6Ju2zch&h5o9Wo?^F9>EU3GqXJ{`M#JjHQWoqwvIj@>?<;<&5M zKfRoe-9Db;xU0^e?4&!nRmE{vooA=dbJ_2!IPR+R?DTOnJ5?Na)%j<7>7HGw;<&5M zKR281)OZ!gU3LD{b~<+Z{2Ua=U3LCTi|N?y<0+22>ip^5bnN!=6vth4{`u8(?Dp{# z$6a-voj#8JzKY|nI{%&NbnN!=6vth4o}E69{l1Fht~&qS`E=~|@f62hb^d$(bl=~o z;<&5Mv(x9f?Dth1ch&iGopkK>@f62hb^Zry>3+CS#c@}ie|a(;yM3Ndaoknsf7DC& z%1RZ-U3H$FKF?*puj06?&i{Bj9s7Me#c@}i|H)#ySEs5t?yB?b^m*=2&sTBWRp;61 znWO4Drp38n;#c@}if3KHrd!>rwt~$?7pXXj0uj06?&R^P2$8MkZp*ZfU^S@e5_v@)D zj=SnSJAIzZeqY6LSDpWGH66QsJjHQWo&QZY9lL!z#c@}iXQz+*-BK0DU3LEV)9L;& zR>g5wooA=d^V#pKIPR+R?DTQ$_f;Hs)p>UMxIb=Gaokns|FoR$&ofmVch&j7bkecg z=W|dTchz}z`Z)IcDvrDA{9h;2vD?Q}9Cy|EonE@XtyFQ`Rp&pNO~-DZ=TjVa)p>UM zIQIK0j=SpomBn=I_VE!xU0^y z&&OT0RK;;uoxgfI-M+CZj=SpozRh$WKU2kVSDk04&*x{quj06?&R^3{ckM@br#SAa z^X&9-2gj>8?yB<#x6`rT=Y1%SyXrhUeca7cRUCKK_}K4%9^NozpvuBtIof7 zIsNu}6~|q5{+CPXE=^Z)+*Rk_A4~WCW);U>b)KDmdp-91DvrDAJUe~d2mLCJyXyRh z8|f}DS8?1`=h^A={NHw}IPR+PvEN_(LGJg}umAVl@2l;;*FV2vr;poz`D4da-2U#z zj;YSG)5mp|syObd^9S1R4gSWlDvrDAJUe~-P3`Yn#c@}iKRBQ6&_or-U3LCYKi%Q> z_s#Puj=Spo&CBW7?c*tqyXrhUecUZuRUCKK`6FxTZe6J2xU0_JHkt0WohpvI>iq4! zba$*&aokns+3B~}W52KBxU0^0x6|KwwuUIPR+RckiZSx6kLNIPR+R z?DTQ>&Q)>TRp;;Pren9y`%oNr)%l6_boVb+aokns+3E9K_WLT1yXyP{o9Wo?<0+22 z>O4Dr+(Q#p9Cy|Ehx+N*?ejhq$6a-voj&g2nJSLE>O4DrJo|kW$6a-voj#8JzKY|n zI{(;YI(GYbisP<2&rTo5eqY6LSDio6b}Bgb`znsR>O4Dr9Q%D0$6a-voj#8JzKY|n zI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1 z-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxh zRUCKK zd3O3Z_WLT1yXrhUeH{CJ6~|pQKKA=xU&#Hw`i;rl@2l;;*Y~g3>Eqb%tGIu*pI>#J zoql^g_WLR>cKw4IA3J><`+c?f-1QIYJUe|H`+XH3yZ%9)XQz*2zpvuCtIo62$Fbj6 zaokns+3Dlh@2fcOs`KphaqRb1Tb)KC*j{Uxh=dL=>P9Mj9U&Y0)e^BSy>Eqb% zt9b6J^X&9-?Dth%?D_|Fo}E69{l1Flt~$?7AIE-Q#l^0FQ0Lj{b)KC*j{Uxhk6r(u&Ue3^j@>>!cKpq8=Y0mR@A>ny)5o#jS8?1`_k4Ex zIQIK0E_VHcI?qlY$9`YMb61^br;lU5ui|3YKdAFZ+xK4F(S8-rU3H$FKK^08uMUdi zt~&on``(Ltl<%v9;<&5MKf0Cf(|liTp5nNx&Of%0?ik-!o2NMLs`KphagX<^IPR+R zQ!DA7n62WttIi)EPj`H~isP<2|CzJto?NWrxU0^y({HcGeqY7Ku76PHPn=Kx+0`nZ zyXyS(T)L;aRUCKKd3O4I?$51PaoknspI%DGZlCv|IPR+R?DTObH>)`As`H;elkN-i zRUCKKd3O3df2Lo>aaWyxW+UCR%T*kA)%oXU(w*v5aokns+3EB7zqnS#aaWyZr;k59 zS;fV!e^BR7@1&dURq@T? zes7y+zpvuBtIo62$NhbwisP<2e`PY=m7OY%yXyQudg<8h^EoJvyXrhUeH{CJ6~|q5 z{$JbSVz=M^TolJ$H9mIwIQIK$b8%OlziKz#)#s}??yB?qR@1TD=lK-JU3Gq}n{MoK z6~|q5{+ji4*Dh6Y+*Rk<>GQeS@2fcOs`J-vren8{r#SAa^ZV!1-7rzbaaWzcp`Wg^ zQN?jrojiogAbcYtIIPR+RhbPk=-l^iatIprt zOEU<=&fm6}j{Sc7bFtsYaaWzceK*}5=c_pG zs`H;*P1l{P;<&5Mv(x8u-+8%;i7Jk}>ik4M-TfO?9Cy|EPc5f=V5W-Wt~&o%C-KpZZtIkjM(mlLV#c@}ie`Ge@qvKT^chz}z`t9}D@2fcOs`KphamS{r zIPR+R$9B^_e!hz1t~x)pn(m3YDvrDA{PAu&cKiGs6vth4o}E7K$)zfeyXrhUef){B zDvrDA{E5wU?DlydisP<2KRut0-9Db;xU0@T)lbK6A5U@IRp*~xPRDK^PjTE;=TCOh zo!qM8xU0^y)91PD_f;Hs)p>UMxS5?Qj=SpoGre@ru2gZ{Rp+0ZO?PU%isP<2e`-4& zyM2BRisP<2|E0xr?Dp{#$6a;)^lmzK`*@1ut~&qxYC3lNc#7k$I?qlY$9`YMaaW!H z&U89<`*@1ut~$?7AIE-Q#c@}i|L%M`cKdjWtIoeXnU38)&!;%f-E{2s@f62h zb^f*WbgwT}aokns-ij!v>E2za;<&5Mv(x9f?Dth1ch&j#dg-=T zsyObd^X&9_?xpc6j=SporR{X=_IV$QO4Dr+*M0e9Cy|EtEbcL8>`~D ztIqG+O!x6KRUCKKd3O4Ie)jt+j=SpoHT`tgZd7sHRp&pkobI}rDvrDA{Pmr5*KbvE z+*Rk<>9^NozpvuBtIl^O(|2~NIPR+R2YTt)?elz!=Kxwxyw zv(v}DIzQ6p;;tIcP9Oi%{z#jPyJ|c;ef-asN7`K6RpU2j(!JIhX>)N`jc2FN^Iu;Z zX>)N`jelby9lL$rC)!-xRpT%0r2Bbqq|L=$HU7<&bZ^a$w7Iye#8qZFjpT9dk(&pl>8sFVccjwuW zHWzo*_`4R<-90tZ=HjjzfA?-WcKiGs(dOc=8qZE2ckkRtn~S?@{C(YY?Dlz|XmfE_ zjh|RgcmL8zn~S?@JUe}!%YJ{@=Hjjz|G;KCcKi5fb8%OVXQz*2zdvkqaaWChsGpAA zK0ex9+*RY*>Eqb%58GVaRpZ&|O4Dr9Q%D0$6a-voj#8J zzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1 zchz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5M zv(v}1-&b+mRp;61Eqb%t2pke^X&9-?Dth1chz}z z`Z)IcDvrDAeD~Yw*zMyfj=SnSJAEAceHF)Db)KC*j{Uxh&VS}?x+fQ_IPR+R?DX5~vENs5+*RjKoKOGR)hdp=>iqOvx~IBT9Cy`ucKUqo z&#hN++*RkFUP{MqpZB3S?yB?b^l>LQt2pke^PfMH?hEr(9Cy`ucKSSjreDQzSDk-m zBi*yhRUCKK`R8WRo$6F^+*Rk<>GS!&xK_n+SDk04k3T(G#c@}iKfRM~wpYb*SDk-; zCEb^2t2pke^X&Bb{4Z=*aokns+3Dl|ZLx~ut~&qXRJs>;t2pke^X&9_{@iL6$6Yl( z_WO%p&HcXm^<%l;SKEEBfBwWyAIE-Q#j)R4o&Ttl?xU?Lj=SnSJAIz}_k}8syXyRv z$#hqCsyObd^Z)3jW4F)epg8WT^X&9-?Dth1ch&iSZHJ59e*1H|<8Pije(?HUe}A#l z$Fbj6o6BAQpyv6p)5l$XK0U=>wOe(5-)cH``@B!=_?zd>`wU*+^Yf2&(~VuO;<&5s z`PZzcyLPFHp$7t2pke^GCMR-Fmi)ik{n>F!>t;<&5Mv(xAK_l#9>+*RlA*-Uru znJSLE>im84=_V$sIPR+R6a94eZ&Y#IRp&poobG{{DvrDA{DYlz4{lX)+*Rk<>9^No zzpvuBtIi*tOn-EzisP<2KiNz7@JbcOU3LDE*>sPNS8?1`=h^AE*JHo0;<&5Mv(v{N zo2ufttIi+WP51cuDvrDA{M2f?C+4a+?yB?0yXn~N^K-{M~wRp+1SrF(Xz zisP<2|J-c4Q{z<}ch&h*+v(Wt^K-Rb1@)2X+2C)9Kjl<0+oI>O4Dr+<%>^;<&5Me|J6| zyM5j#cKpqA#}8iL^Y8C_{dC{osN%S*?)mKWc`o~X6~|q5{#+*=yL~*xaaW!H!CJZ> zE>v;cRp(!xOvi4Y=TjVa)%hRw(!H`$#c@}iXQ$6|+3%}3?yB=Y-cHAUA5U@IRp)=Q znC{i7DvrDAJUe}!`_uDP9Cy`ucKUes`znsR>ilLm9lL#e?D(7Kjvu_f=kNb(>*-!! zs^Yk-?)h&_r@Js##c@}izp$B(-9De6;<&5Mzd4_d{XU-JxU0^;)lavzQN?jrooA=d zbKjn+;<&5MU+kn~x6k{;j=y>C_`&OY{{6kPmhRn!DvrDAp3hF7=d#~daokns-|MB@ zUa8`^tIo62=ed{0t2pke^Ov^MvD@c;D2}`8{I3?%{d%g3b^cGw>Ha)Z#dBAk|4SzwyL~GS#7@2fcOs`Jt?Dr?yB?G zchX(IRmE{vooA=tUXT60isP<2-O4Dr+`;iGj=SnS zJAFL+eHF)Db)KC*?&hf~j=O4n?DxO+-*UgNETeDRhchz}z`h4!K?JADD>ijRxrh9v_ zisP<2e{m|^#oa27yXyQq=hMBrTE%f!ooA=tUXT60isP<2|K8>F+v`;vch&h{E~UFP zUBz)%oqvBU-TRwW9Cy`ucKYr0*zc=2?yB=2Or-yyU&V1(o&Rtn-R0#fj=SpoZ)Vc{ zwo}D%SB;PT{#X7-?)TNN{(J8C)ppEmwMs^Yk-&L3Gzck4nG$6a;)w#jt2?No8xRp)Q-rMqLLisP<2&rZL+ z9{YV2$6a;4yPf{dvsD~-)%m*?)7?E)#c@}izk4?wyL~=C#c@}iXQz+5cdm-#t~!5T zHyyiu-iPA2tIkiXr@MctisP<2&rYA`vfo#6+*Riv*i6T6A5U@IRp;61;~tu*;<&5M zKh#ghZlCv|IPR+R?DTOD&s1^TRp;61UMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q z#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR z>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk< z>Eqb%t2pke@v-0k>P+tU)vtAOzpu9YUf;iBr;lU5uj2mMety+?cKYr0*zc>j*!2%; zeC+gb?Dy5?bJstp^X&9-?DtiC?D_|Fo}E69{l1Flt~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6ak1+k)OmLLIQIK0p1bNiJAEAceH9nG{z08*r;lU5uj09@&a>0UvENs5 zvFjhyd3O3Z_WLTHyXrhUeH{CJ6&JhyL7iu(k7K{D;<>BNv(v}1-&b+5>mSs4cKSH> z`zk(m{ewDxO4Dr z9Q%D0&s}w%oj#8JzKV-o|DetvZQpxwNBdPgch&hxzV9~wFyB`P#c@}if24iy#j)R4 zaokns+3Djx&G*$oaoknsA6rOwjPI+>Qyh2Id3O4^$9q*Ach&i+m2^+cR&m@_=Z}x4 zJHB1TaaW!H%-M8LE>>~eRp;61x7TC8ui|3YKdAF3&ZqzEY8B62b$)s--BaBvj=SnS zJAFR)=hmw@?yB=oFQsF*&-+juchz}z`nZ#uRUCKK`Olw8_l5Z?j=SnSJAIx%)34&V ztIj{Ok?z^$DvrDA{BtwuPIam{?yB?b^!fZ>T&v=^tIo62$Df|8;$qi7sPm_H(#`g& zc!1Iz)5o#jS8?q3Rp&qA_qKWV`znsR>O4Dr z+}{_fIPR+RS0>Y4*{R~VtIq$UmyX>&pM&DKtIo62$Fbj6aokns|Fs=1cKhwmMRD9! z<720fW52I97kAb9t9H{}eZGq0t~$SOH66Qso=BcTsaoknsuUSub?NSxT zU3H$FKA)TYzKY|nI)B|}I(GYbisP<2zkfd64HH!ych&hD`sq3wRUCKK`2)-8Zk(y& zxU0^y({HcGeqY6LSDin&mj2K}6~|q5{_teF!#hIPR+Rx9_IA<9rpzU3LDGtLeIPRUCKKd3O4I z?mI76aokns?^;iH_fi$dU3H$FKF_~rtcv5VI)Be*x_i%5aokns@0(9IF;T^FSDl~e zr@McnisP<2|EcA456o0?+*Riv?4)~etBT{UI?qnOy&n606~|q5{^(@-qdQd`ch&jH zUb=@@syObd^N-A?dvv^t0UJ-JlHaaWyZr;k4|R>g5woj@*Lvh?y z=cnh>vD?Q}9Cy|Er~2vG?c*tqyXySY%jwwd<0+22>io%0x|3T~9Cy`ucKSS*{l1Fh zt~$?7A2+j8#c@}if2No2*_A4eyXyRNv*}KaS8?1`=TB{?`{LOuj=Spomlo5p+i!m^ z_WL;Qs`ICJ)3MvfQyh2I`R7;DvD?Q}9Cy`ucKSH>`znsR>il=6)3MvfQyh2Id3O3Z z_WLT1yXyRR=hLy<$5R}4)%oxB(|v!VisP<2&rYA`vfo#6+*Rk#b<(lh$5R}4)%hQ+ zrTgJR6~|q5{^iMZ?Dly+#c@}iXQz*QWu=Pat~$?7AJ2YY#c@}iXQz*2x3A*3tIq#q zG2N?ERUCKKd3O3dm;Jtqchz}z`Z)IcDvrDAJUe~d zA2+Hv?yB>DT2A-pnJSLE>il0i>DcY_IVg_1>O4Dr9Q%D0$6a;)uaoK6?c*tqyXyQ- zFWui(syObd^B>KoW4F)qDUQ49JUe|H`+XJ1U3LD-VmfyFc#7k$I?qlY$9`YMaaWz+ zT}{VsA5U@IRpVo)-(HXXzS>;eRp;610!^RwSqaoknsuj!||cB6{pt~&pT<#gB0RB_x@=dbUiyMC*RUMc=r1$j=SnSJAK^EQ&k*y z)%e)&f921)-&eo-|K)yPZTG$Y{cr5_ap!j1|NrlxxO04-L7iu(k7K{D;<&5MzdV=j zN8KupyXrhUeV+TudKJfAb)KC*{>Rf*9Cy`ucKUes`znsR>O4Dr+^h3d9Cy`ucKZ0A z_NzGVs`Kph@jqLx;<&5MZ_cE9ty9HuSDk04&+}hjtKzt;&cCscj@>@*Lvh?y=P&G} z`+2X5)N`jc2Ei zzp4Fw58GVaRpSrNr#mz;(&pl>8h@z$-tgSR?eBZo=Hjjze{=i2!Li#Pwz;^g#Rz})f+*RY*>GSh<$4A;+ z+*RYd+v)B+JJROjt{Q*WV!FGhM%rB5Rpam8O~-DZpCj5_+*RY*>ErI58)*?-a8fkNJSB+<<&vV)D58GVaRpTGnOvi2?A8jt~s`2dfaqRbp zZ7%Mr@elRWvD?Q-n~S?@JUe|H`~6{?i@Rz(JAEAc{b8GnyJ|c;eH{D!VVjG)YW!o9 z>DcY#qs_%#HJ+V5j{W|y&Ba|c{zTia;MngE+g#jLEqb%58GVaRpZ&|Eqb% z58GVaRpZ&|Eqb%58GVaRpZ&| zEqb%58GVaRpZ&|Eqb%58GVaRpZ&|Eqb%58GVaRpZ&|YeeC!D zVQ|O?zpu9YUjO&Q*y-cg@2fcW`>ONo^xNyP-&b+mRpVo)k7K{DHWzo*d3O3Z z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6 zaokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|n zI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rj~yp)dJKAz&ZtIo62$Fbj6aokns z+3Dlh@2fcOs`KphaqRb19Cy|E?zhvi+s9KJchz}z`Z)IcDvrDAJUe|H`+XJ1U3H$F zK92pqisP<2&rTo5eqY6LSDin~_ul4@@;!D?9Cy`ucKW!7`5rqcj=SpoBYf{|j{Uxh z1t8pIxouxU0@j&!u~+Tg7o#ooA=d z=l1KOX9Cy|E z=U38wdA5qIPR+RFHWUOLFb<%ybRmE{vooA=dbN{|j#c@}i zzcQKb%1#x>U3LB+y>#sM`5Y9-U3H$FK92pqisP<2|F7+EvD`)YH!>mSrSKX&@KtIwyW_^WoS&hJ}I$8MkZi5-9Q+wA9wv2MDt%T*kA z)jj{3^>o)RRdL)^=RYx>j{QELKX&}hbH@)}-}Ccdx0&wxGgTaS)jfaze7YMZsyObd z^EdR#O%RUCKK`CDew9T~6UxU0?|*-m%s*(#2^>ilhs>DceLKNtIb9Cy|E+jrC5 zalVSg5woxf)@ z-Mwe3IPR+R_syr9n5g2otIkjK)7`&O#c@}i|I~822WF}`?yB<-cG9umZ-4H7isP<2 z&rTor&_Wf*U3LEGWV)j}RUCKK`N>|ohgYgN?yB>T%%*#Eyo%$lI?qnOy&n606~|q5 zo}E7K*i;qAU3LE0Zo0?MS8?1`=ciWFJuz3saaWx`-c84DpPwUk{LOR64_@E%pTF$% zaZfH)aokn+e0KWy6Ju3e?D_|F{={ZFcKf^!#dBAkpPo<0ZXX{z{^q&k2e0q>^FP&3 z$8H}_aokn+{HK@GvD?Q}9Cy|Elbv)Yx2ibqs`Kphc`o~X6~|q5o}E5!W~Ykdt~&or zFWs{%RUCKK`R8WSof@y=xU0^e+D^xQpPwUk{LOR64_@E%@Bd4S=}u2oaokn+{L{PX z*zNOtisP<2|NLq?cKdjWJ^%i`*H8ETjVg}2>YmR|pXajQS8?1`=g)Q0vD?Q}9Cy|E zAFQSO;X)P1U3LED$#m@Yc|OH)SDk04k9%dMisP<2&rToDeqY6LSDk04k7K{D;<&5M z|70=Ut5a1Rchz}z`aGBYzKY|nI?qlY_p`Ywj=SpoW;Y$XecmT_{LOR64_@E%_y4u^ zbgwT}aokn+{5Pi4T^Ot4xU0@z*i6T6pU+Qm+*Rk_oKMGoA5U@IRp;O8r`y`7;<&5M zv(x9fZ_iY5+*RiJypeVSDk04&vV)Dt2pke z^B=CJW4Di|IPR+Rzv-r9w~wbd?yB?b^l`sis^Yk-&i{To-5?<;<&5M@AT6BZKaCit~&qGY&v%PJfGsYtIo62$Fbj6aoknsuPmlx zw~wbd?yB?b^l|L>Rb1@)2X%gTH66QsJjHWYjgOsvdp-91YIC{kAJlnv`nao>s(9|I z^H)!&+c#FlaaWz+x0&waXR0{vs`Kph`TXqnRUCKK`D^;=uHC5OxU0^8VmaM)GgTaS z)%oi?>8{_Z;<&5M?_W!I!$K9uU3I=QnXa=_#c@}iKhR6ZZomDx*ze=GtIo62#~mE6 z;<&5Mv(v}3-&b+mRp;61<8Gd+;<&5E$A14m{`cJPt6zB__xozQ@Aco`VyBNgx7+^x z^PsqMe4as_XQz*2zpvuBtIoeXm+nX1DvrDAJUe}!`^tJ1$6a-voj(4@(^VXI)p>UM zc=r1$j=SnSJAK@%^Hm&o)p>UM_@DNxIPR+R?DX+JTdv}`tIluEqPlIPR+R?DYBETiaD!?D_|F z{ugJ{y}ek)b61_eIF;_=ZWYH}b^e|6>E2zf;<&5Mv(s;{$9`YMaaWyx?{fO>^(v0L z>ijR4(p{Ra;<&5Mzdx4l{mm+lyXrhU{q}n7_f;Hs)%g!5(tpse;<&5Mf4GtE@^Tf& zU3LC9GwFWYsp7b+#>amD|M+jY-&g0U zb(X3)?yB<#+V2hi#<41nyXrhUef&-B?_0%jSDimNpYG5^6~|q5{!l;N;r92<^C^zI z>iqxDxBbP|U7!1&?}pS+2rD!Y69P3vfGLO=T7pSAsVPcpAZ<+1MwUhzdGG%7?w$)v z(+f*S8u?;0@};G@iFBlqFLP<>R3ocrx3$tz!YNe^q~H<|ZfHyTZ?yVHyDn;4cb@n2 ztk3%XZv44=Yd?$E`Yku!WiMra4lR{qzmKOl?yB?b^l`Uswc@y|&L3Vacl&%Rj=Spo zk%@9gc3N@VRp;;6D0k;_D~`MBJUjh(J@@-6j=Spo*mn85&b8vWtIprOQ10ktD~`MB z{L$TV?DqNm6vth4o}E7K-q}_hch&j(#>%nV=Y1%SyXyS-TDkidTXEb~=h^A=T=x4a zj=Spo1Doa8?c*tqyXrhUeH{CJ6~|q5{-J(3cKdjW0UvENs5 z+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2 z&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UM zIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aoknobHD%ZwA5F%$+`t{r<4w*ze=B z=K1XOaqRbp4VQP-cy{_Y_WQ$z%e!hkJAEAc{b9r9T{WJaK92qVu;KEq8qZE2$9{j< zaCujaXQz*2zdvlaysO5u)5o#jA2wXxRpZ&| z`@@FIyJ|c;eH{D!VZ-HJHJ+V5j{W|y;qtB;&rTo5et+0-c~^};{Ec$#_VL+pc~^~R zr;lU5KWw`@@FI zyJ|c;eH{D!VZ-HJHJ+V5j{W|y;qtB;&rTo5et+0-c~^};7Tzv(v|YlJBc(xV)>zKN{bAamV?-s)ozEYCJoA++!P^ zhReHZ{N!@E$7ebXmv`0p6Qku$YEq8#bQ&)2s_|!b%FS$a8ZPgu@y{)n`{GQe;qtB;|NLmV=eIiz zmv_~8cKZDM|Gdy?xV)>zzc5+uh22iWUFkGj-qpQ*?)U%wJGI|e|F{3E z_WNqw_xk>lJAEAceHF)kUv>V2UOD#rc#7k$I?qlY_t*JW9Cy|Es}tp}?zG~#tIq#z zqa3?^J_p5dSDk04k7K{D;<&5M|6@B{?)KxK%i_4J#^+8S$9`W8mv`0qYj(?Bd!ZG_ zU3GrnN;!7>JfGsYtIm&%l^eOzisP<2f8AQS>la&b+*Rk<=kvMQ?W;KMs`EE&mSew< zr#SAa^ZVz@-89~cnqy%on@b^gFoxtph3aokns+3CmYx!+fD+*Rifu9m-L zz7@w^b^g|ga<}fZ;<&5MAKEB4y4;H6t~!6)Ou56OtvK$g^M|*~-F~hW$6a;)$U-^x z`|;1^ejmqOb^easa(7;6#c@}i|JX{ovDsD}chz}z`h4!YuC(H~tIprOR_^FxD~`MB zJUe}!f6quOj=SpoJ)7n3J===ot~!6;T)FY_RvdTL`SE_a``24>+*Rj4zEtjk=~f(f z)%gc|<=E}VKbQM`9Cy`ucKSH>`znsR>in^Za>sUBaoknsCpOAGyxfZ8t~&q7Ou0{t zw&J*}&a=~x*K@zG;<&5Mv(v{NpKQf(SDin;Tkf$7tvK$g^OGy(9-nQ+aaWx`F;3+G@pdSDk04&vV)Dt2pke^X&9-(>tv=?yB=o zZs`Kph@$C0i9Cy`ucKSH>`znsR>iiEE%Dpn#isP<2&rYA` zvfo#6+*Rk<>Eqb%t2pke^P6Mk*zMyfj=Spot83+6TWrN~SDk--s@%nqRvdTL`HP$7 z*zNQADUQ49{2O!S*zMyfj=SpooBeWI>#aEMs`KphdG1@&tvK$g^Ot(%*zNN^6vth4 z{_WLr@65O2xU0^y)91PD_f;Hs)%kZf%55*V;<&5Mv(x9fmq%N1+*RiVmWGjxl>O4Drp38n;#c@}ie}APMyL~*xaaW!H)mS-p`*@1ut~$?7ANQNZ zRvdTL`QJ{J``t(@j=SnSJAIzdeqY6LSDk04k7K{D;<&5Mv(v}@e!UgPU3LBsOXdDJ z-HPL`I{&9$Id=Pe4vOQhI?qlY$9`YMaaW!H^F%pz`*@1ut~$T7QSL9xtvK$g^B>HV zW4F)qDUQ49JUe|H`+XJ1U3LEILOFK(c#7k$I?qlY$9`YMaaWz+T`9+IA5U@IRpWD~ zAFtUMxN8<$aoknsubnElZ=@B+U3GrnX1NcaZN+g{ooA=d=V!mK;<&5M zU)L{p{dy~oyXyQ$mdf2Q-HPL`I)7uY+>KkUIPR+R?DXUHkF(!baoknsdlTh)JFPhG zs`Cdn%H6!&isP<2&rYAueQ>lD$6a-voj#uZzKY|nI?qlYcWAN|$6Yl(_xoRez4rU+ zzyG(|@2hd&>+gSar;j_o8~^{mgW}Hfc?NZ!oj#8JzKY|nI{)HqxgU(R;<&5Mv(x9f zFRiuWxU0^y)5pI&)r#Y;I{!aM%KgvHRvdTLd3O3d|CPB`9Cy`ucKY}q^;>b=Rp;61 zip~T<=E}>J`~4Yb^hW`xu0yb;<&5M zzp-5I&6!plchz}z`h4!K?N%Ij)%l;EEBDqyD~`MB{H4iqmv&om+*Rk_zEJL+l~x>g z)p>UM@p|s}RUCKK`FF3B-(G9QaaW!H*{4es`KxSlzVTp6~|q5o}GTYp8I_j z$6a-voj&fD{Z<@z)%o|=%UxM&#c@}iXQ$8ef8A@vaaWDc{r>zPYrn7l+yAKjz8d$v z{{59Zecb*lA3Cn$_K$t&xavGReOzy`6~|q5{y_ZR;BOvj#c@}iXQz+iiuWEqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrDA{G$`)*zMyfj=SnSJAEAceHF)Db^c`RS8(k2RUCKKd3O3Z z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6 zaokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|n zI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1 z-&b+mRp;61UMIQIK0j=O4n?)U%gZ0+~eug}$fUyb`- z-@kIFk7K{D;{F~#zv?_Y{dhh1`znsRYJBeVaqRciaCujqXP=K_zpvuBtIo62$Fbj6 zaokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|n zI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z z`Z)IcDvrDAJUe|H`+XJ1U3LEOH_EZw$5R}4)p>UMIQIK0j=SnSJAEAceHF)Db)KC* zj{Uxhtwek+c<>O4Dr{KI@-9Tdl1b^ej~-iu?uuj06?&a>0UeUk61gW|ZW z&ObU|?l|99!&4l0)p>UMxW_hHaoknsCzs1TKGTZht~!5WwA_j9RvdTL`A?lI_ryXg zj=SnSJNEljqw&J*}&VTl7xzEkD;<&5Mv(xAK)BRQ)ch&i)*ULS#)QaP-I{)l+ zxzoK?9Cy`ucKUq&&#$)PxU0^y)5o8gXvJ|?ojU3H$FKF^(9X~l6@jnDo5*B`3={;>a9 z`~9!05AFH=D|h-h_WQ$zW518j8vjB3-s0Hp4;wD;s`2dfaetlfG+f?QzU$<87 z`o&JerSWP@~#?xXrtWd za;M?)t{Q*aOu56OorcT1YW(5ta<`xBG+f?Qzv(xAK_l$HJF7K-G_iUEC z_iU%(@~#?x-(0!z@lM0#T{V8ZU+(_(PQ&G0HU8sE)(N4qVT{WJaK0o&- z&vhCu@2c_a^zp|hI}Mk2)%fGP=TOT;5gV+3Dj?j&vF>@2c@9H_Nfx=Y6u_@~#>`HCK+^K0X^R@2c@n_RF!` z$7jRkT{ZrxrE=`{@!4>BSB*c_D|c$E({OoLjc2FNbJ_0?8!qpv@$B?*(>tAp%e!j) z(;MZUS?)Ak-c{qDohf&Ew9{~TSB*ctU5?#8KSwrP-c{qjuuzWOK0X^R@2c@m2&L%@!4>BSB+<AQjo%zA$8H~=4VQP-_*d7;y|&nC zxV)>zzdlv&;z+0A@~#?xakCt|eLjCST;5gV-e#hReHZ{N?R(?Dlz|Y`DCu#{Ybw+%G0O4VQP-cy{_cm;L^*;qtB; z|NcrjcKi5jxV)>z|7xrpyM25%T;5gV+3Dkcv)F04ysO6lcBAQjc2Ei`~7;S;qtB;|A(b=f1K_#T;5gV|I{nTZlBMQ4VQP- zcy{_Y_WQ$z%e!j)pC`((+s9|ak8jk%wK5L$T?NqsaBb|oJyK4Nt&2k?;+iAGGtH!g_=kv4Q zA2wXxRpYPgm%Dzw({OoLjsM6}xf`ZC4VQP-_#1oWZrtiLT;5gV+3E9hv)>;!T;5gV zdlTh)JDrBhyK4M_jdC|HcN#A5s`2df`P>IbI}Mk2)p&OLc=r3lhReHZJUe~dp~+6e z8#JcByVP9Mj9U&V1(oqut* z+z-ZDaokns+3EA#m)2Tw+*Rk<>EmCXYQ=F^ooAO4FBcs=*~DvrDAJUe~dFZ-=H?yB?eua~>B)QaP-I?qm@=l{CbisP;tpZoo< zeW~{Q>ih$>-&fBsB2-&b+mRp-aH z%indb6~|q5{_cfxM<-ix+*Rj~?v`V>&*!H&?yB?b^l|sjw&J*}&fhmyj@>@*lRN(K z-0_3g_x$gV@wIaIFSg>itM2*i^m#7(eHF)Db^d|Pa_si;x#JJd9Y1(|&(F_JAIE-Q z#c@~N^B?M$W4Djb9e;T4_`&OYKA)XFj{UxhRb1}+2X+2r>{M{<_fUMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhEqb%t9b6J^X&9-?Dth%?)nFHo}E69{l1Fl zt~$?7AIE-Q#c@}iXQz*2zpvtQ*FUK9?DTQ$_f>rE`UiEMoj#8JzKYLX|DevZ)5o#j zSMl6c=h^Aw*zc=2?yB?b^l|L>Rb1}+2X&sEK92pqiqBpDpw6??$Fbj6@ww|C)OmLL zIQIK0p1bNiJAEAceHE9x{z08*r;lU5ui|spKdAHU^l|L>RXlgqd3O3Z_WLR>cm0Dp z&rTo5eqY6NSDk04k7K{D;&RtNsPpXfaqRb1eD3-Ob)KC*j{Uxh=dK!``~CTm+V2m$ zS^NEYb!5-)U%AuAvELsy+~4Cr7kt)ucKYFRzdvlaysLZr-09=k?+?3K`~7&nUf=8g ze#xCaj{W|y;n?rvv*!8i^l|L>hYgo^)p&OLIQIL)hReHZJUe|H`~6|VAQjc2EiW4}LaxV)>zv(v}1-yb$y-c{q->Eqb%4;wD;s`2df zaqRbp4VQP-cy{_Y_WQ$z%e!hkJAEAc{b9r9T{WJaK92qVu;KEq8qZE2$9{jzv(v}1-yb$y-c{q->Eqb%4;wD;s_|prEXQsi zpADCH)p&OLIQIL)hReHZJUe|H`~6|VAQjXxIO zdvVA5orcT1YCJoA{KI@-Rm0_7HU5$K-iu?uKWwGS;Qey8E`t{VUJdbwwoIt`b1 z)%a(p%bo6Z8ZPgu@$B^Z{GVU#G+f?Qs3I zHC*0R=dalil(U<*r|B#c@}iXQ$8S zX1}lExU0_Juvw1XKAz&ZtIqGAD|gd)D~`MB{7wCGz4cZcch&g=OXY5!ZpCp|ooA;X zujhVW#c@}iKe$@{mibm3ch&h@C(7Nr(~9G+I)7-R+~{&Ej=SpoZ8PN#kGA5ttIi+Z zE_eI6RvdTL`6CPE*zLzZm-~Gjch&hjcFWy)p%uqnb^c>3<;G@Paokns+3EAS@4C{8 z)~Bs`KphdHy{ktvK$g^Y?6)yZ3A>j=SpoeRJi;$6ImSRp-b1_)l?yB<>8|5Be zZpCp|oquGe+$Tm`aokns+3CmYx!+fD+*Rk<>En)1w&J*}&L7_`_t=G29Cy|E$(3@C z&$i;YtInSoE5~l1pM&DKtIo62$33ywisP<2&rTnIa-rdgV@Swc@y|&a>0!xu2VF#c@}i zXQz*!-f6{gSDk-)quevgtvK$g^UuzdJ3ZQp?<;<&5Me{Z$i z_vc%2+*RjaoG8a`pXXB?chz}z`nZ>tTXEb~=h^Aw+3%}3?yB?b^l|L>RUCKK`5!Kn zdu6f}$6a-voj%WHzpvuBtIo62$Fbj6aoknsH^<7c+s9KJch&h<*UG)N*oxz>I{*4q zxr-yMIPR+R7dOkX+voFB9Cy|EH|EN*+s9KJch&hf`{lOQTXEb~=h^A=+_$D%aokns zFZIf?+vj~Kj=Spo+pFc?nQz5$SDk04&vV)Dt2pke^Y3ny+g@(PaaWyZr_XaQkGA5t ztIl8EF2`=4_n|oMs`EczDEEuWRvdTLd3O3dm;Jtqii#;%KdS=6~|q5{!hJf?DqK_6vth4o}E69{l1Fht~&qciE`}r@f62hb$(}~ z++UVkaoknsKbR@UZlC8<9Cy`ucKSH>`znsR>ipG(a_si;6vth4o}E69{l1Fht~$TF zQjXm|p5nNx#^*jiUeEo$8ZPgu^X&6+*DSW;xU0@zJ5_GqNGp!J>ioXVavwh1isP<2 z&rYAuf8BU1j=Spob^UVJueaj3tImI9soV|ItvK$g^EdX&-MH0?b=Rp;61ip~T<=E}>J`~4Yb^hW` zxu0yb;<&5Mzp-5I&6!plchz}z`h4!K?N%Ij)%l;EEBDqyD~`MB{H4iqmv&om+*Rk_ zzEJL+l~x>g)p>UM@p|s}RUCKK`FF3B-(G9QaaW!H*{4es`KxSlzVTp6~|q5 zo}GTYp8I_j$6a-voj&fD{Z<@z)%o|=%UxM&#c@}iXQ$8ef8A@vaaWDc{r*>8ul>II z)&ExeeKqcT{rf9-`ndg9K6G5g?H~Klan*Tt`ncX=D~`MB{DJtr!QVX6isP<2&rToz z(fIRLaokns56+dlWxN%~U3LDJez{xY&zt8{9Cy|ELrdk@?c*tqyXrhUecWwZtvK$g z^M_Z<-9F!nRUCKK`9~+pvD?Q}9Cy`ucKSH>`znsR>io&rui)74 zt2pke^Hcw4IrjT_isP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhU zeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh z@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|n8lU_9uP)Yp zU;Wxt?f2EV@AdsF_xU*X`zr45@$;+Bv(t~)bHA_RxU0tJJ|D+^Uk#Uc)p_>$IQIK0 zj=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7 zAIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns4}YT^yL~*xaaWyZr;lT|uj06?&a>0U zvENs5+*Rk<>Eqb%t2pke^JCvE$8H}_aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH> z`znsR>O4Dr9Q%D0$6a;)SbXor9qYH^xU0^y)5kx|_timh+*RiviSNBQ_WLT1yXrhU zecUJczB(w5yXyR-^W~27eKkDAaaWyZr;mGVqZP+pb$)WW+~YH?IPR+RCq~Pi*lxvf zSDpXVxpGe|wBop{&a=~x*K@zG;<&5MpS)21(<`kw?yB=sv*n%~YsGO_ooA=d=l;xE zD~`MB{8NkN*zNN^6vth4o}E7K)MhJ=yXyRB&zAe#Tq};d>O4DroQ}^%r{VR9+IQIL)hGV~v&l>+h{NCc&?GGC+@2c_a^l^Wk?=)QA zRpYP5?=Al7PN(7Wt{VThjdJYvd7o^!ysO5u)5o#jA2wXxRpbA$oi2C#;j7{DuI}w~ zr;lU5KkOT|-;X~(y}sA?@7(F*uI2Yl4R_6M{LiX+{=WFV#eI0T({OoLjUO2+H*%%Z zaCujazizGE^^2W`%e!hkJAFPs`~6|VD^+|ARShReHZJUe}U?vHMD8ZPgu@dsDS-7?>4xV)>z-#St5)}2nn zzPi&NXc)8PXc~^~pWTxCFMmr6c zchz`y`uzN#JlAQsysO5u)5jm5>@-~7RpXEEmV4|%r{VIh8b7&G?(x}9!{uEy{=`^0 zcKiGs*>HJRjc2Eidt$NEaCujaXQz)pInrskysO5a+$_g#pZCdz%e!j))Lc1s`}l0Q zysO4P*)PX#AD<1Ech&f(mddf)$7jRkT{ZqxuiUAvPQ&G0HJ+V5&t<HJRjc2Ei zW4}LaxV)>ze|xSRyM25%T;5gVztb=G-StkxkI#n7 zyK4ORR?B^VzSD4dSB-yhq8z(@o}Ueuchz`y`nZ>tI}Mk2)p&OL_?JgJ4VQP-cy{`D z_WQ$z%e!j)4;RY4GTCXkysO5u)91PD_lFIachz`y`Z)Ic!-mVdYW(I{Id=Q_Y`DCu z#=p8&?zP2E!{uEy{`IMH7e_h`mv`0pi<{-x?eqDw;qtB;|HfQ7cKi5jxV)>zzu7Oh zwccsCysO5u)91NwO?MhD@2c^adga*d^FG;dc~^~pd$rs<^PPsvyJ|c;eV)sHf7oz& zSB-ynqulm#r{VIh8qZFj=UyJ|G+f?Q<1cTQW4F)yWW(iMHU8%d<$f{QX}G+r#{Xis z9Q%EqpADCH)%f>U%CXzWXT#-PHU3v)<=E}xv*Gft8qZE2_nXB|!{uEy{zv(xAK?DvNamv_~8cKSH>`@@FIyJ|c;ecbQYI}Mk2)%ZUwmHXp#r{VIh8vmzW zId=Pej%>KRtH!g_$FbiZHeB9S>!8!qpv@jDyk{<7R@xV)>ze=t*y-9FFH zhReHZJUe|H`~6|VOwho`}l0QysO5u)5o#jA2wXxRpWP8%CXzWXT#-P-P`9* zKV0tjhkc{=`|d5hbs8@3s`2df z`MGbJ?=)QARpWaT<$61vhReHZ{DF;fH!pV@F7K-G?DYBk2S+;%mv_~8cKUes`@@FI zyJ|c;ecYkRPQ&G0-P`AW|Eou9zd!76YQO(g_2`~||C>8~-1*)3`)4)W`S?8etnuvh zaqRbp4VQP-_!no({a~!qaCujaXQ$6|Us~%lT;5gV+3Dk7p6WDQ-c{q->Eqe&4;wD; zs`2dfaj(pE8ZPgu@$B^RKk9cHF7K-G?DX+JUg|Vl-c{o_r^~(C>oi>6RpZ&|^ZeIV zI}Mk2)%e%v%dy+%eX`;5t{Q)Fr`%6AIt`b1)%Z7-%e^_%X}G+r#rmv_~8cKSU3*S$`|O4DrTrYkPR2+BJ`2+ELgS$C?4^$j?)p>UMxR1u~fr`sr|DetvoGW)r{2t&b zp1bP&E&X!0#_s{1;<&5MA6hEMZXZu^+*Rk<>EmwOYQ=F^oj<%0!x$O5<9Cy|E z2R6&G+sEgQKRkE*;PpNK`;VPIj{Uxh0UvENs5+*RivohZj{A5U@IRp;61io&rso>b} zt9b6J^X&9-?Dkb0chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqipyR9pw6??$Fbj6 z@!VDC+3Dlh@2j}n^$+SiJAEAceHG7Lb)KC*j{UxhEqb% ztN7gY59&NSeH{CJ6`#BQL7iu(k7K{D;<>BNv(v}1-&b+mRp;61O4Dr z9Q%D0pS%7+ooA`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YM zaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDA zJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp$?X zqa3?^JjHQWooA*&A5U@IRp;61 zUMIQIK0j=SnSJAEAceHF)Db^aLNd&3{=x8k^~&a>0U zKg{>oL2=ww=O5vFZ#ee*DvrDAJUe~dC;1*bD2}`8{G;>bj`KY>JjHQWooA z$6a-Pa=F~&Gp#u8s`DpC%bnP6#c@}i|J1p1Pb{?JxU0^y(~sA4zpvuBtInUiQ2x^^ ztvK$g^Ha0so*Zk%aaWyZr_bm9%vvjsyXyQ?i{;qu^F9>EU3H$FKJL_JD~`MB{AbUW z``lbBj=SnSJAIx%-EYNlSDk-)z1%ZPtvK$g^UqF~JKbx=aaWyZr_bmA{Aw$XyXrhU zef*h;RvdTL`7=A^W;R-J+*RkFTQ2v-nN}Qk)%oW~%RRr{isP<2&rUyH&;7oNs3IHC*0R=dalil(U<*r|B#c@}iXQ$8SX1}lExU0_Juvw1XKAz&ZtIqGAD|gd)D~`MB{7wCG zz4cZcch&g=OXY5!ZpCp|ooA;XujhVW#c@}iKe$@{mibm3ch&h@C(7Nr(~9G+I)7-R z+~{&Ej=SpoZ8PN#kGA5ttIi+ZE_eI6RvdTL`6CPE*zLzZm-~Gjch&hjcFWy)p%uqn zb^c>3<;G@Paokns+3EAS@4C{8)~Bs`KphdHy{ktvK$g^Y?6)yZ3A> zj=SpoeRJi;$6ImSRp-b1_)l?yB<>8|5BeZpCp|oquGe+$Tm`aokns+3CmYx!+fD+*Rk<>En)1 zw&J*}&L7_`_t=G29Cy|E$(3@C&$i;YtInSoE5~l1pM&DKtIo62$33ywisP<2&rTnI za-r zdgV@Swc@y|&a>0!x$O5<9Cy`ucKW#KomL!o)%mA4%008(isP<2|Ljb;)1$38?yB>r zx685H=jWg}?yB=&SSZJCA5U@IRp-y_mSeY%r#SAa^Utl6W4Di|IPR+R?DTQ$_f;Hs z)%kBtm1DP$r#SAa^X&9-?Dth1ch&iC&y{1hkEb~9s`KCJm;3H|D~`MBJUe}!%YI+Q zaaWx`-z&#%A5U@IRp-CATJHPvtvK$g^Dj=6W4F)qDUQ49{0}zDy|mnlEqe&t2pke^P6Mk z*zMyfj=Spot83+6TWrN~SDk--s@%nqRvdTL`HP$7*zNQADUQ49{2O!S*zMyfj=Spo zoBeWI>#aEMs`KphdG1@&tvK$g^Ot(%*zNN^6vth4{_WLr@65O2xU0^y)91PD_f;Hs z)%kZf%55*V;<&5Mv(x9fmq%N1+*RiVmWGjxl>O4Drp381u z#c@}ie}APMyL~*xaaW!H)mS-p`*@1ut~$?7ANQNZRvdTL`QJ{J``t(@j=SnSJAIzd zeqY6LSDk04k7K{D;<&5Mv(v}@e!UgPU3LBsOXdDJ-HPL`I{&9$Id=Pe4vOQhI?qlY z$9`YMaaW!H^F%pz`*@1ut~$T7QSL9xtvK$g^B>HVW4F)qDUQ49JUe|H`+XJ1U3LEI zLOFK(c#7k$I?qlY$9`YMaaWz+T`9+IA5U@IRpWD?AFt$xN8<$aokns zubnElZ=@B+U3GrnX1NcaZN+g{ooA=d=V!OC;<&5MU)L{p{dy~oyXyQ$mdf2Q-HPL` zI)7uY+>KkUIPR+R?DXUH-0!P6?yB>>iSoUjRvdTL`2!o}ZeDK1aaWyZr_bj;INFNi zt~$?7AJ2YY#c@}iXQz)lG}(&dt{R{F{V)IDwcl62^1sx6Uyb`-fB&2NeBAln`1|KU zap(CwgF4SnANT#0RvdTL`4?x){a~yW$6a-voj%WhX{{BO4FBcs=*~DvrDA{4dAL|FYkTinUla_si;6vth4o}E7Kwyjnich&jBtL1K=Z^dy}oj)>B?#NCnj=Spo9UJBDTyDj2 zSDk04AFtUMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxh zRUCKK zd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62 z$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8J zzKY|nI?qlY$9`YMaaWDc{r;C`Yrn64d93#PYTWnw{*^m@9Q%D0_xJeuRp;61$LqP@ zS8=)PAJq8V>Eqb%tKnRebLH2X&sEK92pqis!C6&rTo5eqY6LSDk04 zk7K{D;<&5Mv(v}1-&b+D>mSs4cKSH>`zoHh>O4Dr9Q%D0m%IK!ooAmSs4cKSH>`zk(n{ewC`_RVta_VKyn567MN8N9yd&(BUD$9`YMaaY~*+3Dlh@2j}n z^$+SiJAEAceHG7Lb)KC*j{Uxh%U%DV&L4~Ky|`ojRy=prd3O5vhxxubD2}`8{3G$b z7sq~I#c@}iXQz+*B;QvD#c@}ie{{awalWsHr#SAa^X&9-k8QN#xU0@jE|+_JrWMCs zb^gR?xf9#1IPR+RpE_6WiG@}ichz}z`tf@1_f=f(`UiFXkrD~`MBJUe|p|L0d*aokns+3DlYOtj*1*FUK9 zXLicXY_#IJtIj{ST<(iAtvK$g^Usf#dw#nW$6a-voqoKY`+XIcyZ%9)e_^ux3%jj& z?yB?b^m*>=N-K`LYJBeZzx4mreqa6a|6BWgHST-;`#*R3IQIK0j{Uys{0IErhG)O8 z;<&5Mv(v}@b-oqHU3LEIM7gUwtvK$g^MBhY$8Mj`L2=ww=h^Aw*zc=2?yB?u*iM(b z{rKmyIPR+Pxzop86Tfe2xV)>*U$a~8+6%2X?yB?qR?4y4=lK-JU3GqBtlY?zRvdTL z`RmroUBB3huK?xyip9Cy|EoBHK?>#aEM zs`Cey%H2HOisP<2&rUyH&;7oN#pD~`MB{Krc3N@VRp%!*%00Z?isP<2|Hw?aPmH$WxU0^y(~sA4zpvuBtIo62#~q(+#c@}i zKfYV;u?wv@?yB>XE9D-aZN+g{oj);Fj@>>#2gPw$ooAio&ga_shbABy9yIzKg6j@>?<;<&5MKiMzGZXZu^+*RkFS}MnGA5U@IRp(Fj%AMM3 z#c@}iXQ$6|+3%}3?yB?b^l{TWtvK$g^G|P-duF*6$6a;)*_m>uM_X~+Rp(D{mt(ij z&p~nARp-C3P>$U`p5nNx&Y#&W$8H}_aoknspIa%%ZXZu^+*Rk<>Eqb%t2pke^WT~( z$8H}_aokns+3Dlh@2fcOs`KBTE5~jhPjTE;=fBf0_uchY9Cy`ucKSS*{l1Fht~!6d zSB~92p5nNx&VO&U-1p~OaoknsUz{k%ZlC8<9Cy`ucKW!NmRoV$Rp;61UMJeU2xisP<2|Ncrj zcKdjWvln@f62h zb)KC*j{UxhEch&iiES0-qx)sM=b^gX)xf{1y zaokns+3CmYx!+fD+*Rj$6Xkn5tvK$g^9MG{-Mrk2GRx|);bNBchz`y`uLZpIt`b1)p&OLc=r3lhReHZ zJUe~dD|4NO%e!hkJAM3*`kjW$yJ|c;ef*D?It`b1)%eZnavt#bQ&)2s`2df`T5@+>oi>6RpZ~iQf_;# z({OoLjsMwVxyw_XhReHZ{Cgwi-rMXnT;5gV+3EB1|6;DwaCujaXQz+f9t<09 zfBZh-v&OU2$Mxd(VAybZSB*aqzc;v>HJRjc2EiyLYzJaCujazi+G@yM5j#8!qpv@#Aad?qBRQ zT;5gV+3E9K_WQ$z%e!j)1Doa8?c=lI@~#@sP9Mj9f7oz&SB-zDUyj{AJ{vCYs`2df zaqRbp4VQP-cy{_Y_WQ$z%e!hkJAEAc{b9r9T{ZsEiE`}r@!4>BSB+<`@@FIyJ|c;eH{D!VZ-HJHJ+V5j{W|y;qtB;&rTo5 zet+0-c~^~Rr;lU5KWwhYgo^)p&OLIQIL)hReHZJUe|H`~6|V zAQ_x8Ep|CbB3-&g;2rS|)3-1pj#UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXyQgzW0Vd z#`oAkaokns+3DjR=6md*IPR+RkMO-W9Q%D0$6a-voj&f9e2*Oz$6a;)(fM-6`5qgd z;<&5Mv(v{tw$X~?t~x)tT<-CiRvdTL`4gk%PHea0xU0^8>Rh=e7Fu!KRp;61$LqP@ zS8?1`=TBZJ|LK)h9Cy|Eso8Q*jrtIo62=W~B%trf>zb^fWva_shbABy9yI?qlY zcWSd0$6a;)vuDeFZmt!_U3H$FKF^=-x8k^~&Og0g?wO@l9Cy|EXQ#`Z?zQ5$tIo62 z=ktGlwH3!*b)KC*{>(%xj=SponVoVo8?89*s`Jk+m;2&OD~`MBJUe|p|MT0eIPR+R z?DX;fywHl{t~&q1WVsi1TXEb~=h^A={MnUO9Cy|D-0%PE|62Qf^-K5GeqW9IUf;iR zr;lU5uj1J6tImJWEBC=xD~`MBJUe}!``7ta9Cy|Es}tp}?zG~#tIq#zquk$@TXEb~ z=h^A=`PuKQIPR+R|JY8KyZ!j*YR4a*JAUx`UVnbM)5o#jSHsn=e^B%M-09=4<@aq+ z{58Aze7(Ns^Y^WkW4Djb9e;T4ywBkEJ^jd7xsfZaIPR+Z{MW6OyMD11$6a-voj#wN z{l1Fht~!6iW;u5I_}uY_=Z+t|zUR-sf3Dn3inUNa-++wIPR+Rx6PD0 zJlcxmt~!5syWH*PT5;S}=Z`FuW4|B&T<-UA+*RlA*e!SGg;pGQ)%lODlpC9E#c@}i zXQ$8SzUxXWj=Spo-D~BJF1F&htIo62=lS=HwBop{&fl|H?%uPlIPR+R_sx|XA8*BR zSDhd4m%D$x6~|q5{^LvK9++;$aaWyxuvhNEtyUa&)p>UM@p|s}RUCKK`C}91kL|SL zxU0@jY?OO=xfRD*+faaY~*+3Dj?jEqb%tGL|t59<84rpo<~kybo+)p>UMJoi7&w&J*}&VPHZ9J_tqCwKhex#I_~ z@A>EVoqoCRuD9a2tM2*i^m#7(eHF)Db^d&>9J_ry#c@}i|K4i3@6Wg5xU0^;I8lz> zKF_B(?yB=Y*eLhXax0Fz>imV7a_skce(w0gbH@)}-}BG!wEs^_v%`?*A`oG z+*SAd*Qd%|9BIXISDnAOS&rR4pP%BmtIoeMSB~92p5nNx&cE3&x3%7iRUCKK`Kt@%*zMyf zj=SnSJAEAceHE9x{z0AJT`9+IA5ZbzRpWD~AFtUMJfHo(isP<2e_g-a_3N!T z?yB=2St@tKbSsX#>imtpayM?Z;<&5Mv(t~)bHA_RxU0_hCd&7AT5;S}=MQX@W53Vy zDUQ49JUe~d!O>P6chz}z`gr#HDvrDAJUe~dp~+Spch&gZ@BhpHUi*FZUq4m*eKqcT z{qIli^l|5R9mHKNxGpaaWyZr_Xa=T5H8|SDk04 zkAHcp6~|q5o}E6P{l1Fht~$?7ANR^!D~`MBJUe~-kNT}R?yB?b^zlDlYQ=F^o!^`; z_iC>d$6a-voj%WhZM7B0U3LET`Eu;`c^``7t~!5lr`%6AT5;S}=igW^_vTD1j=SnS zJAFR))^;n7yXyQ;&y{;?p%uqnb^g+1xl6mPIPR+RZ(k_)&PpqeyXrhU{dhh1`znsR z>ioM`%5Sf=;<&5M|7@|`<*8O2ch&j#{(rvhF1GIa-uHYDP(vZCKpsp8)R0n4LBv1_ zCgr52D6N6CF-4p0KKtBRI?~9}R3l$nnj1?;8d(}?luJu<6X{4JU-ZK2*=;>(0avA` z22yYd3~p#4eUjVY%w^7A$SDk04 z-(HXXzKY|nI?qlY_x^Sj$6a;)gVl6b7OFVzs`KphdH!#ERUCKK_}K4%>;KICzB==@ z-0!RHzSlp$VxN!OcjY4|RNTIikDO4QXQz+r%~x^URpUM_*>iG zw~FJgI)7j$-NDf+j=Spo!R>U1+TS5lGHaokns?^sKB=VBGdU3H$FetSLk`znsR>io!7`n%3oaokns z@19F{Y`lu&t~!5gH{B=Jt2pke^X&B7>#^Tgaokns?;T0cZXZu^+*RjCSJK@#U&V1( zooA=dbJ_2!IPR+R_ivEqe&t2pke^X&9-?Dth1ch&hv$I`Le$5R}4)p>UMIQIK0j=SposkT$WvENs5 z+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2 z&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UM zIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`0VkpBc~n{-C?L z-=9&(_x%19JAEAc{Xv`i2S2}RJUjj7V!uCVb8%Pq_Oa8)vELtbH~0JP`Fee??~k$5 z$FbiZv^nM9okB>GNchz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAb8k?*8qw~voD z7kAZocKSH>`-3(Ychz`y`Z)IcgEkj;)p&OLIQIL4HWzo*cy{_Y_WOf27kAb8t{OkSnC|hZPMeFnYW&IJbSJkuZ7%Mr@t--L?uofhn~S?@ zJUe}U{{Ot&X>)N`jX$-X?z2msHWzo*_=)LsPmXljT-;UT+3EB7Key6pb8%OVe`-D* zyM5j#+FaaKD6@4EOgpj z+*RYBolJM8*J*QcSB+<<&*%T*a;MG3T{WJaKK|@jr_IG(HU8{Qx~a8Jn~S?@{Bw)x zzC6`wb8%OVe||XK^IM%Z7kAZocKZDM|1#HUb8%OVe_=e`3%i{*7kAZocKSSjda2Xq z;;!!PW4}N1KXbpY{+ItN_xozQ@Ads9cKSH>`znt8zUusky>#sN@f62hb)KC*j{Uxh zipl=(y`y?`4q=pb)KC*?w`X|9Cy|Ee{O|~-G2LXQ5<*G_}J;= z*zc>&#a(s&n%#8Qu2*r~Rp+l;O2=-W=TjVa)%l^3bVFCFIPR+R*RQ0zVZMsvt~$?7 zpU=&HU&V1(oxgD-9lL!z#c@}i-#3%)=FuvSyXySS+v$3%RUCKK`TYy&Zkep&xU0^y z({HcGeqY6LSDinwoc`c!6~|q5{?J&uLpxO*ch&jBYw3m;t2pke^S4cDceLKNtIb9Cy|EJ9g9Exn9L_SDpX(Qo51pDvrDAJUe|p_gz=2 zIPR+Rcdw*7HebbYSDk04&-3pYs^Yk-&fl|p zisP<2|EYy^_fJ-F+*Riv=%ssLvx?)cI?qnOy&n606~|q5{`gq><2zLxch&i^wR8_H zR&m@_=O3O*_sDP+$6a-voql^g_WLT1yXrhUecXxhDvrDA{E6LkkF8g6+*Rktm(o2x zUBz)%oj*B}j@>>#2gPw$ooA-9lL$rhvK-a&QHvw zW4Di|IPR+RPj07Uw~wbd?yB?b^l|L=RUCKK`P030r#GuO?yB?b^m#7(eHF)Db)KC* zZgQuJUMxPLoW#c@}i z|K3bGcKf^!#c@}i|NeHmAFNh!+*Rk<>GNFn`znsR>imUXI(GYbisP<2|HI{UKbo!L zxU0^;IF^pxKF_B(?yB?b^l>jQR&m@_=h^4u+3l-1?yB?b^l|L=RUCKK`Jc?Cdu6EnJkU&V1(o&Wtrx<3q6aokns+3E9q_WLT1yXrhUeH{CJ6~|q5o}E7KkE>N2ch&hn zEu{PNWEIC;eRp;5~q$t2pke^X&B7>#^Tgaoknsdt>Q) zJ5?Na)%pEv>DcY_e2U|)I?qlYcVM`RGQcax2ibqs`I}%pYE->DvrDA{H5`9mv*Z-?yB=|ucv!wsfy#S zI?qnOy&n606~|q5{@p9-w^ph+?yB>@oKJUoqKf0LI{)5Ky7xA!IPR+R?DX5~vENs5 z+*Rk<>EqttuHv|>&VR6)?#eA_esA!%40YOE+*RY*>Emy0f8T>P z7kAb812gFkj&|By+*RWbw%;3`d#L?=587PZRpSr0-y0me{Xv_HyJ|c;ecWxEoi-PD z)%YXJ>29Cxw7Iye#vdI^cXX%I=Hjjzf5%$7I~O}`F7B%F?DYBhM}|9XF7B%FBU|b2 zI^St?aaWDMdoJCv@lKnIyK4Ng-E^N=@3gtNtH!g_=jZ?Abf?Y5T{ZsRk#y|#d7o%= zaaWBWT}gM}e5cLDT{WJaKF?*pKWKAtSB<}aBOSYae6+c^tH!g_$FbiZw7Iye#y_~7 zj@>>!+FaaKEqb%587PZRpTEWOUG^>A8jt~ zs`2dfaqRa8Z7%Mr@u%8;1;>7W(B|T<8qZE2$9{j%=Hjjz&rTo5et*#B;;tIcP9Mj9 zf6(UQt{TryAIE-w(B|T<8qZE2$9{j%=Hjjz&rTo5et*#B;;tIcP9Mj9f6(UQt{Try zAIE-w(B|T<8qZE2$9{j%=Hjjz&rTo5et*#B;;tIcP9Mj9f6(UQt{TryAIE-w(B|T< z8qZE2$9{j%=Hjjz&rTo5et*#B;;tIcP9Mj9f6(UQt{TryAIE-w(B|T<8qZE2$9{j% z=Hjjz&rTo5et*#B;;tIcP9Mj9f6(UQt{TryAIE-w(B|T<8qZE2$9{j%=Hjjz&rTo5 zet*#B;;tIcP9Mj9f6(UQt{TryAIE-w(B|T<8qZE2$9{j%=HjmI?PI_H&GWh6SHCrv z`+c?D_xisd#!er{eqY7?qy6WiI?qnOy&n606~|pQKKA)I_WNpcaaWyZpO0g|uj06? z&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$F zK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db^ge=)3MvfQyh2Id3O3Z_WLT1yXrhUeH{CJ z6~|q5o}E69{l1Fht~x*RopkK>@f62hb)KC*j{UxhtIj_%aaWx`Ih^j~Ru#ux zb^bHw(>*a)#c@}iXQ$s@kNv)i7E>^;<&5Mv(x8ue{Q9U zNIaokns+3EB7+3%}3?yB?u+zJ=F{r2Z_$KO16eE;>m{{CX8k7K{D zHkZ5pe$De^r;odq-?x78*X+jg_4=OAziufVyM27@_?zd>`}AMm(+`cL8@f`(aaY~v zzkVg%4f9nTchz}z`h0Ho`znsR>imrx>DcY#W5?e-cYOc#J%9dvGwE&~t>U<=?)f)w zr|Yd&aokns_b;ToWwMInt~$?7pP&2I%_=T-{rx(BU^(5v*(#p9>inUxbcc4TIPR+R zhu6{#FII8fRp)P;N_S+qisP<2e`G7&?dPjF?yB=g=hCs?Z+|ZK`#A2Z^LOl~yK}vY zUMeD1rhRB_x@=kH!gcWl0jF%Gb;<&5MKhR6}z-AT4U3H$FetSLk z`znsR>iqGs^v8FqIPR+RV{7RiTCC!@tIj_>mF|(@DvrDAJUjjNdhGXA9Cy`ucKWyz z<5e7Y)%g><=^k6J;<&5Mk1wTre7cI`t~!5mBpthbeva7jH_sj4e|^t?ezMcYJuzR! zaaY~*+3Dj?4OMZm>+je3Qyb~n?ejhq&s}wXVkRBCeSGZro9B-2zrN?s|KxT$cKdjW z&Y#&z$8MjWBX<1FbI12z-}68JFU_T6w~wbd?y7tK z+1+&P_VE;<>BNv(x9f|8}m5 z0Uy}Ve(aaWyZr;lg9uj06?&a>0UvENs5 z+*Rj)GMDa^@hXnH>O4Drp38n;#c@}iXQz*2zpvuBtIltXq+_>_j~##W-0}U__x$~T zbtTGRyn!&Mx2)%nX?>DcY_J`~4Yb^cd#>3%(4#c@}iXQ$6|+3%}3 z?yB=2ETv<&kEb~9s`I}YNyly&p5nNx&a>0UvENs5vFq>G`Q4>-?Dp{#&s{Y>cKYr0*zc>&<*vV9=h^Awu9>gm zxvS1!JCTmvKJPUMJeU2xisP<2fBkm48&<10?yB=2TS#}~ zWEIC_peaaWyZ zr;lg9uj06?&a>0Uy)sk9aaWyZr;q>Xb`=-9{(hZjr;q>HLKV+lb$(+q-K)JSj=SnS zJAI!2+Hw`gU3LET*>vpoc^``7t~!5lC*9B2syObd^KUGsdvmIa0Uy}w<>aaW!HU^U&9 zg({A_>O4Drp8wlk6~|pQKKA=x|6g;zuYTh*x!+gYeXoCh#ZDi$@5)C`sJMM2A332q z&rToLo3G-ytIqFlzc=_>hN?L3s`Kph@wc|WZxzQ~b^gFix`U%t9Cy|EgWKs2wZCtk zPjTE;=MOKW8*YE!c#7k$I?qlYciUza$6a;)$a1>dXRA2ws`E$3(jDEY;<&5M-?5hN z&c!N@yXrhU{q}n7_f;Hs)%lUF^mm=F;<&5M-#wS^*mxDkU3LE0Zn{sbS8?1`=h^AE z*JHo0;<&5M-#e0?-9Db;xU0^OuB5wfzKY|nI?qm@=d#~daokns@83wrZXZu^+*Rk< z>Eqb%t2pke^AB#PW4Di|IPR+R?DTQ$_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db^g(@ zbnN!=6vth4o}E69{l1Fht~!6J?No5=_f;Hs)p>UMIQIK0j=SnSJAEAceHF)Db)KC* zj{Uxh zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrBqeC+qXF`WDTLAP?h{|$9`&+lKc)5o#jAGEoD@bjz2v(s-b z_WOf27k71UA3J><`~5+;a=+i6uh;kb{un!b9Q*x2n`6I^kDBMR)5o#jAGEo+tH!g_ z$FbiZw7Iye#eK+vk0v&Ba|co}E7K^hT%6#a%W2^XJli zVW!jO;;tIcPM_ybZg<*T+*RYBUQPGRLZ{8eT{ZsM$#iFWoi-PD)p&OLeEu&kciLRs zRpZ&|KaaWCJr_b}JmpW}O?&{t?_WR%Xe{#RCe)E6J{l41ndwqY2 zoj#8JzKUbNuR8x>FCF`RJjHQWo&WdcbnN%>6vth4{_0q|t2Tg7o#oj){| z?$AyZ$6a;)@LIaz#VU@w>ilg}>5dFnaoknsk8Gv8{d^V2U3LEGTsrpq?a#%2AIDvF z{*K*rcdl1)+*Rj4zLaicx{BkjI?qm@&wbaGDvrDA{M{?*j?Gtb+*Rk<>GS-1hN?L3 zs`K}3r2FK#DvrDA{Jk^jMn|hS?yB>n+v)CGt>U<=&VOnl-TjkQ9Cy|E2YTrq*sS8X ztIo62Z?DIGU&V1(oj*R7{`gK6$6a-PY%SeGi&Y$V)%k~~(mgU<#c@}iXQ$s@kNv)i zw>DcY#DUQ49{FB@1*zMyfj=SpoQw!?<;<&5MKev>Q-9Db;xU0^y z)5o#jS8?1`=f69V?tctbaokns+3EA#e>+#jaaW!H-b^}n`@9duaaW!H{&u<_tX6T{ zRp-|h(y`y?`4q=pb^byx9lL!z#c@}i|KW1FAI(;A+*Rja981S;pXXB?chz}z`nZ=D zt2pke^X&BT?Dth1chz}z`Z)IcDvrDA{7>f6y)s_KaaWyZr_XcQ@2fcOs`KphaqRb1 z9Cy|EjgfTh_VEZN11&-+juch&i~m(#s7Tg7o#ooA=d zbN|1cDvrDA{JU%Ewic^6?yB?b^m+c};VO>1>ip%cbnNzdABy9yI{&M=biW?2;<&5M zv(x9f?Dth1ch&h1meR4?$5R}4)%o9yq+_>_r#SAa^X&9-znib(xU0_pej?o;hN?L3 zs`Kphc|QAn6~|q5o}E69{l1Fht~$?7ANR-ADvrDA{GS%m{duyAamD>p#r>zWR;-miv9R-S_(Uzp>ND zUD$2^|G)j>F7SE!b)KC*j{UxhEqe&t2pke^X&9-ugp|&+*Rk<>EnO8UBz)%ooAVy(*5o z>O4Drp8wi%6~|q5{`J{(?DlydisP<2e{m<>&)2Fr?yB=|ET(&Ns*2;TI?qm@&%L=- z#c@}i|Hb)qZ_QP4+*RihN?L3s`Kph@wc|WZxzQ~b^gFix`U%t9Cy|EgWKs2wZCtk zPjTE;=MOKWW4Di|IPR+R?DTQBZB}vIRp*Z^r@MW&isP<2e{?L}(VZ%eyXyQMYw7M> ztm3$<&a=~Rug890#c@}iAK6NO*ZC@ryXySibLozaS8?1`=a22C`^0(`$6a-voql^g z_WLT1yXySCBk9@g<0+22>ipEqb%t2pke^QYQ=1;>70#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh z@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YM zaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDA zJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61 zUMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxh`znsR>O4Dr9Q%D0 z$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke z^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDiod z?R4z+@f62hb)KC*j{Uxh0UeVXs9{o=T*&ObVv?gZago2NMLs`KphagVK4 zaokns#~0H*K2^nWSDimOobKdS6~|q5{xj#(Juz3saaWyZr{7+W{l1Fht~!5eJ^g2w zsyObd^ApqQo*b#-xU0^y)8})4Zl#Ljt~&qJd^&dfybr~3SDk04k2}3l#c@}i|NOah zUzn-lxU0^y)93k<+f^KQ)%mAa(>=3L#c@}ie|9q6nO+sgU3H$FKA-=K%T*kA)p>UM z__JeG9Cy|Evpeag)~Yz}s`Jk+ru*_#6~|q5{`ui_&u>+6+*Rk<>9^NozpvuBtIoeL zp8kd1DvrDAJUe}!JH1rJaaWCx{r=bQ%>DkL@8^F1>*}3*e*cP{K92qVpv|%0$48C- zu>IcR*zFJ6T-;UT+3Dlh?GM^q+*RYRw%=Ra)tye8i@R$4-`CQy+vk0v&Ba|co}E69 z{r;fM#a%W2pIhN#x8HoWxwxx)``GE@*zXVee(v|%-=ALJ>-%@?^l{hn`=&N`&2Iaj zRrCDo+V3rn-Tt7>#a%UiXe8axl}?+ByK4OPE9q{S@3gtNtH!g_=X0~)AGEo+tH$5B zk&fLyKH6N|Rpa-~q`P^v)8^u?8h`V4y54H1&Ba|ce*Z$cTP8bgF7B%F?DYA$Z{6&) zxwxywA6QOzaJJLt;;tHhXe`~KolcvJyK4O5wRFRaoi-PD)%e?{(j6J@w7Iye#vj>A zcl-HHn~S?@{L#5|?DzS5h&C5@)%ZJh)7`n=X>)N`jsN&kx{>Kln~S?@JUe|p_gz;y zZ7%Mr@prGJJ2u~Gb8%OVXQ$8e?-}Z}xwxyw-?NeKljk~ZF7B%F_s*mn9qqKaxU0sG zZl}9%wbSO}t{VTTg>?5%cG_IrRpTG%rF&qr)8^u?8qZFj|NI}E?X)N`jX$-Kj@>@*6KyW;s__#u>DcY#qs_%#HU7!%bnN!=(dOc=8voQnI(GZ`XmfE_ zjX&K>cY3qa=Hjjz&rYA`vfm%Hxwxywv(v{-?sVE*+*RYBUQ74PVyDf;T{ZsMsdQ(C zJ8drRs_|#G(y`m;=ZH2Jch&eW&81_vkB>GNch&f_yXn~NRT{Zr>rF87}@zLhu zt{TryAIE-w(B|T<8vosibnN%>(dOc=8qZE2$9{j%=Hjjz|Gk-X-yiL?xwxywe}6mO z4^}&EF7B%F?DYBE|L9ys`2dfaqRa8Z7%Mr@f#!Q*zMz^&Ba|c{?(Oqug!PbT-;UTU!O>Kaj4Vg z;;tHhaU&hOeLjD*xwxywzcG{U&CyPqi@R$4o7?F&S37Mk?yB+Z^!eOxO?KK`+*RW* z_0qB1=Y68h#a%W2?d5du%y!yb+*RY*>GNFn`-3(Ych&fJ*V1h*cG_IrRpZ&|^W4kB zoi-PD)%eR>>DcY_KGEjlt{VTVxpcoC@3gtNtH!g_=eg|n2W>9ys_`EzrDL~`k2V)~ z)%f3xq+_>_k2V)~)p&OLxZllp+FaaK<9|Pq?hiwqHWzo*cy{_cpZ)%z&Ba|co}E69 z{r;fM#a%U?oj&f5tDQC%ch&emEu{PNWT(x=T{ZqMy>#sM`5e*a;;tIcP9Mj9f6(UQ zt{VT>v2^VA@zLhut{T6ymhNwhoi-PD)%Xvm(y`m;`O)U$t{TryAIE-w(B|T<8h>>z z9lL#ew7Iye#F&qvtwpF7B%F?DTmq`~5+ii@R$4 z_1o!gSnagAxU0s0Y$4r^lbtpfch&ftdg*T3?6kSKtH!g_=jXn8w$tX~t{UGPOV``! zw7Iye#_wNC$8Mj`5p6E+s`2dfaR-JwZ7%Mr@$B^R?Dq$4F7B%F?DTPm$2)BHLZ{8eT{V7VGTp1aPMeFnYCJoAp8wi%r_IG(HU9P4bnNzd zpJ;P&SB<~8lkVqhoi-PD)%Z6S)4e&>X>)N`jc2FN=ic1vw7Iye#{c4cy0_*!Z7%Mr z@t4NaUE1xmxwxywzrCLBouy8ji@Rz(JAHoscSkyHF7B%F?_No_wbE&GaaWE1<$Su! z6P-2}ch&g!hSI&a(P?vWSB+<<&(HtsnNFLFyJ|c;ef;~|oi-PD)%Xuq(_LBUw7Iye z#RT+Fab#y?yNWzw&(U_tmf7p8I{Z-S_(MkFnFo?Q6dWDsEriog&bcfpS z0iNQxtIi)@NXKp;PjTE;=h^AwZriNlxU0?|Sx$HRY!$~{b^hpBx}!T)9Cy|EJJ!i<+sDU_zj^NX{_A`G?~l=ybob3yaokn+e0KUgm;Jtq`znsR>YmR| zAIE-Q#c@}iXQz*2zpvuBtIj_0UvENs5vFq>G`BQDDf@8n0;<>BN zv(v}1-&b+mRp;61UMIQIK0E_VI>I?qlY$9`YMb61^b zr;lU5ui|3Y->>uR^l|L>RXlgqd3O3Z_WLT1yXrhUeH{CJ6&Jhyew}Bhk7K{D;$zp} zuk-BmaqRb1eC+!Bb)KC*j{Uxh=dL=>P9Mj9U&V1(ooApVMs9Q%D0AG`j3ooARUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2 zzpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>im&!r(?H| zr#SAa^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3GrsJL%Z%<0+22>O4Dr9Q%D0 z$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&L8J{Z}Z2ut2pke^X&BT5Ai*= zUmSPU`G@)5+Z_9S6~|q5o}E7K(|nKZ7sp+7{?XZVC-@%QJjHQWooAio&!bSJl}IPR+RpE;lIiMc9{yXrhU{q}n7_f;Hs)%jEF=|8(v#c@}i zpO{YfO4Dr-06)fj=Spo=g+14!b}y% zU3H$FKF^=ruHv|>&Og1H?wN%uj=Spovy&a>0UpB<~> zxU0^e-AOmKR>g5woqujI-Iu4TIPR+R&kv`2eyfV(t~$?7zr7y&eHF)Db^e9%^e^mI zaokns+3EA#>7^=;yJ~#w_rLmob9u zDvrDA{J$@!`;XZwj=Spo)v4vUUaokns zuU|=b!+aITU3H$FKA)TYzKY|nI)CFvI(GYbisP<2zi%ep&7)Nuch&iux6}1jt2pke z^ZOUl-7;ClaaWyZr{7+W{l1Fht~!5UIsL)eDvrDA{GqXQhjyws?yB>L*U}9yR&m@_ z=Wm-zcVxJVIPR+RckHISbG?e=t~&qmrF0|H zRUCKKd3O4I?z^s3aokns?_NoFY`%))t~$?7pXc8*RK;;uoxf)z-6zjgaokns@103E zI$FhXSDhc-PIupG6~|q5{!b)KDmdp-91DvrDA{PD5$ z$9JkY?yB=+Yv~?Ztm3$<&Obbr?vddtj=SnSJN@>0?Dth1chz}z`nVJ0RUCKK`4hY8 z9$T;CxU0^OFQt2Yx{BkjI)8E`9lL#g4vOQhI?qlY_r!b^$6a-voj(55P!-2rb^g>w zI(GZK55;j;ou8OV$8H}_aoknspWIHzZXZu^+*RkFT1dxkA5U@IRp(Fl(w*L{;<&5M zv(x9f?Dth1chz}z`nbuRDvrDA{L^dco>{EoxU0@TJC*Lta23a0b^gp&I(GZ~92Cc0 zb^c3p>DcY#DUQ49{Mp@f?Dp{#$6a;)xutaM_VE6vth4{`=eMez01_aaWyRTS&)#pXXB?ch&g|y>#sM z@f62hb^eFT>3%d@#c@}ie{n1wyM3NdaoknsUs_A|@?sUoU3H$FKF?*puj06?&i{BT z9s7Me#c@}i|H)jsSH`P2?yB?b^m*=2*Q+@0s`Kph@$C0i9Cy|EjgfTh_VEZN11&-+juch&i~m(#s7Tg7o#ooA=dbJ_2!IPR+R@2;iWTCC!@ ztIo62=ed`Mt2pke^Ov{MvD@c;D2}`8{IBNH{d&BL?<;<&5Mv(v}@ZoZ1+t~&qwiFAJ$s^Yk-&a>0!`Rw;q9Cy`ucKSH> z`znsR>O4Dr+#gq~IPR+Re_BZQ=gBILyXyR3dg<8h^EoJvyXrhUeH{CJ6~|q5{;y-{ z*zMyfj=Spo&RV*^Emm>dRp&pPO2=-W=TjVa)p>UMIQIK0j=Spo)wy)+_VE!xU0^y&&OReU&V1(oxgS>9lL$rhvK-a z&R@5Y?xW|bIPR+R?DTmq`+XJ1U3LEY?Q}P+R&m@_=RdZP?#9U~j=SpoO}%tCZB}vI zRp;61x7TC8uj06?&iBUB_jal{?yB?q*V3`u=lK-JU3H$FKJLJ96~|q5o}E6P{l1Fh zt~$?7A9r}XisP;tAN&2U{NK6XSHJpSbHA^)`(FS4H}?6s3%l*#Klh8fz~|}Ld3O3Z z_WLT1yXyRl)9GFssp7b+&a>0!xi7C&aokns+3DkdJW<7QSDk04k7vKH;<&5Mv(v}D zGE>EISDk04kN@d*6~|q5o}E7aXA4yvch&ig$#k#wsyObd^X&9_{%gxs9Cy|E*JsnQ z+vj~Kj=Spo#hr9NU#sG{tIof%nC{J~DvrDAJUe|p_vTg=$6a;)7w6NxHCM%PSDn8! zp6=3a6~|q5{_XX2?<`eu+*Rk<>9^NozpvuBtIofBCH>Y)6~|q5{+ILVE>Bc(+*Rk_ z8%p=yMis|hb)KDmdp-91DvrDAJUe~d``c90!`M>Q|aokno zW555eKg|8UI{n{rzpu9YUjO`xojz{gm5-cIar;I-azb^Uoj$HNU&V1(o!{SnZ}7Ja zRdL)^=h^AwZ*70yDvrDA{DGNt2S=+o?yB<#x6>VJf8RWx;<&5MA6`huZXZu^+*Rk< z>EmwOtm3$<&L3G$cl&G=$6a;)=vca=J5?Na)%iQt(%rdO#c@}iXQ$s@kNv)i^Hm&o)%m;U(j6PG;<&5MAKOj$iS;UuyXrhU{q}n7_f;Hs)%klz(zDygQyh2I z`O%eh_sv&v+*Rk<>GNFn`znsR>iqp1>DcY#DUQ49JUe~dgQHa(ch&g^x6`rP=Y1%S zyXrhUecVHnRUCKKd3O4E_WLT1yXrhUeH{CJ6~|q5{?V~??Dp{#$6a-voj#8JzKY|n zI)AF|RB-I~RUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}i zXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr z9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb% zt2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6L zSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=O4n z?DwZEqb%t9b6J^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XG` zyZ(NiXQz*2zpvuCtIo62$Fbj6ak1;~*LimOIQIK0p1bNiJAEAceH9nG{(hZjr;lU5 zuj09@&a>0UvENs5vFq>Gd3O3Z_WLTHyXrhUeH{CJ6&Jhyew}Bhk7K{D;$zp}uk%N~ zosQi;K6d=gap!&dukZE0e`2SPW52KB*zc?E`Rw#@?Dth%?E3q4o}E69{l1EiU4OsM zk9;Q`yM27@_?zR-`}AMm^XF%$k7K{D;<&5s`Rw#@?Dth%?E3q4o}E69{l1Flt~$?7 zAIE-Q#l^0_U+0gv@4dL=+f_Vw)p>UM_=otu+Aof~>iom)doPatzKY|nI?qlY_i4Vb z_KV}LI{)Zwx)Xd~ZJy$|tIo62$33=I#c@}iA74!O_*50gU3LECaJrLQRUCKK`OlnB z_rzQk$6a-voql^g_WLR>cK!W2e`-DbXP2sY?yB<>)9IcZsp7b+&a>0!bAN87isP<2 z|I~ascKf^!#c@}iXQz)ly-~$+SDpX-xpZHcsp7b+&a>0!`IFmK9Cy|Er&rTGvrxrx zSDk-$GToV86~|q5o}E6Q|BK629Cy`ucKZ0UV^v)2`ulbM>`uC=wJM&w>ilzy>ApNw z#c@}ie||XK^IKIMchz}z`t9}D@2j}j_4n)i3*+fu*sbEZtIo62=eg5MRUCKK_}K4H z|2_Bn>Q`RM{l41nd;Rl2cKW!#O}6Lvi(|j9I{zWRx6QHNS8?1`=h^Aw{$sX^ipl=(y`m;b5I<2)p>UMIQIK0j=SpoKexigZomDxD2}^oeC+gb?Dy5? z;;uS>&2GAD*Q+@0s`J+^rDM0x^C^zI>ip11x}hso9Cy|E>sQj?<;<&5M@0&??^Jo>vU3LEE?R34>DvrDA{QiY>w@g-X+*Rk<>9^No zzpvuBtIi)-PJeK=isP<2e`qY-p`9v@yXySmwRFRaRUCKK`P-(_9T~3TxU0?|*-Cf& z`6`aP>ip5UbnN%rpNsuIj=Spo9lPo7T(9D|tImIXDc#6)6~|q5o}E6Q`>rch9Cy|E zyI0a3o3G-ytIo62=lS;xRdL)^=kM7__sMfr9Cy|EduP&(j#hEpRp&>y)7`gP#c@}i z|I|Xd`zNb7?yB<-^wK@BS;cWzooA=tUXT60isP<2e|#+c@trD;yXySdTDpf8t2pke z^AAs@dt|tZ z&Yv7f$8MjWgW|ZW&a>0UJuzR!aaWyZr;k51RK;;uoj@*Lvh?y=O<>;vD?Q} z9Cy|EC%4nF+s9KJch&i)7SgfX$5R}4)%nxCbf-6~IPR+R?DTmq`+XJ1U3H$FK5lZS zisP<2|MXhAXBMkC?yB?8PNh3DT*Yx$oj>#2gPw$o&VBYI(GYbisP<2e|9$= zyL~*xaaWyxZYdqReLTf+SDk04k7K{D;<&5Me|I7syL~*xaaWyZr;lU5uj06?&VO$v z9lL!z#c@}i|NeHmAFNh!+*Rk<>GNE6`znsR>imUXI(GYbisP<2|HI{UKbo!LxU0^; zIF^pxKF_B(?yB?b^l>jQR&m@_=h^Aw+3%}3?yB?b^l|L=RUCKK`Jc?Cdu6UMxIeB|aokns|Fn?q z&y!Ugch&j7^wP20=W|dTchz}z`Z)IcDvrDA{9nh?vD?Q}9Cy|Eowam-Tdd-^tImHo zm5$v$&!;%U<=&VOtn-Hnq~9Cy|En|kSP+N|QZtIo62Z?DIGU&V1(o$rmM@9k7^ z+*RlIucc$R&+{pcyXrhUecXZJDvrDAJUe|n`+XJ1U3H$FKJM^%6~|pQKKA?5x8{C- z&`Y`BpH^?(^WXo*P9Jw+w>@8N?gF1jHJ+V5j{W|i&Ba|c{>ABZFO78CT-;UT+3EA# zmsdJ%F7B%F?DX+Jp6Il>xU0sq)5o*lAGEo+tH!g_$GtMsX>)N`jc2Ei|LJz8&Ba|c zo}E7aXA7M+7kAb8jmdPc_Bw4Y?yB+Z^m+bk%bhkCch&gUXVbCU=Y68h#a%W2;!e7s zuXWm7+*RY>SWNfkRHx0wT{WJaKA(GYtJCJ$JJJtHxg%Pj_jz)8^u? z8vpisx_6d3Z7%Mr@$B^Z`QIJsw7Iye#=mCpYT-;UT-y2Hz z-bSa*#a%U?ojyPRuV*@KF7B%F?DX;PZ+F^U+*RX0SWS0jq0{E#t{TrypXdL!*J*Qc zSNHa@-+$qe-0u(i@44T9L49P;e}2VIAGfdl9t_&tzV`csj~dTTAJ=QY2ZJ^jch&g) z?e_+EOZz<-w7Iye#^2I@Z*aG^--AJ$i@R$4f%bcYJJ@~?25m0xs__Tg?+xxy`#l)6 zxwxywA8x-lIClGkHWzo*cy{`@+crCGF7B%FN0!swKHF(?aaWB$I+pI}PN&VqT{ZrW zwRCqbcG_IrRpZ&|^Yf1kciLRsRpUpt(%p5w)8^u?8h`g(x?|&=HWzo*_+z{2KC#|u zb8%OVXQ$84|Hn~S?@JUe}!%YJ{*=Hjjz zfB!~0cKi5fb8%OVXQz*2zdvYmaaWCha628leSEaJxU0sq)5o#jAGEo+tH!g_$FbiZ zw7Iye#`znsR z>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk< z>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2f8^Wg z*zMyfj=SnSJAEAceHF)Db)KC*j{Uxh`^9lroqw3`z0I-TS8?1`=h^AwKF#;oesSDY=O3L-cY^P+%~KqA)p>UMxX0G2 zIPR+RGQciw^GG%SDk-qJ{`M#-iPA2tIo62$DQ7&;<&5MfBsy$ zFU(YN+*Rk<>GS-_?JADD>ipBI>7H4r;<&5MKRcQ3Os|ULt~$?7pU?lrO4Dr z{MoT8j=Spo*`0J#YgHU~)%oWZ(|viWisP<2&rYAu|NK@J$6a-voj(3w=BhaEs`D?5 zr+Z`}AMm^Yaglq#L?Y#c@~N^RHh?cf))Y$6a-voj#wN{l1Fht~!6?Mml!;_}K9` z&mG@?eb1kN-%PrjN2@sQs(b#;+v$3%RUCKK`TYy&Zkep&xU0^y)92^Db+d|#U4OsM zA6QOzaJGu)t~!5cEZw1&L7!Icl-G&j=Spo z(YbW&_uHR~{XUMn>iiwM>F!*w;<&5Me|#z3$aEFQU3H$FKA-!pD^(nK)%m+u(jA+x z;<&5Mv(xAK_Y75W+*RlA*+}=vb5$I7)%km8(v6N*aoknsN4L}6w_3$*f$^FO(rj@>?<;<&5s`A;pRW4Di|IPR+Rr+ev6Z&q>KRp;61^IZ1( zDvrDAJUe~d?<;<&5s`Rw#@|8}m5X5PjTE;=YKMn?v?Q>j=SnSJAIz})AcHjyXrhUeLVYp z6~|q5eq$sZyM27@_?zdB@4vq1@BgbS>0XWxucDxU0^;yOwTiv5MoaI?qm@=UyJJ;<&5MU*1Z`ZlCv| zIPR+RznV+;>+vd%yXrhUeV)sHU&V1(o&R7d9lL!z#c@}i|IJ7`cKdjWiq8~(*0qmisP<2&rYA`v)@;7+*Rk<>Eqb%t2pke^X&9-e_XBNV%OiV^M6`M z_vgtfp1bP&UwY}-?ejS(j=SnSJAEAceHF)Db^fno>HfM?#c@}i-&srdx5X-syXyRh zQ|Z|4^Z6-`yXrhUeH{CJ6~|q5{_0#hcKdjWU<=&VOtn-Hnq~9Cy|En|kSP+N|QZtIo62Z?DIGU&V1(o$rmM z@9k7^+*RlIucc$R&+{pcyXrhUecXZJDvrDAJUe|n`+XJ1U3H$FKJM^%6~|pQKKA=B z{O`HnSO4|d-0!RHzSn<$i=961!fyNb&;8;q@Ok=mo}E69{l1Fht~&qXbh?*DsyObd z^X&9_?#nAx9Cy`ucKY}qPgHT-Rp;61thC~|tIoeWSB~92 z??Z9iRp&46l>7O5D~`MB{Ci8~F3q&!xU0^y)8}(;tImHs zQtso;RvdTLd3O5odhYjC9Cy|E-;9_4O}`b#U3LDGwQ^S%TXEb~=YKn0?svUb9Cy|D z-0y$m|Em4I`py5j_WNqw_xk%+?(=c`u3mRs#qArr?zrkaJAGVlp%uqnb$);R-r#Q^ zX~l6@ooAB?#NCnj=SpoJ?rJ}U24T~SDk04AFtEqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1 zU3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ z6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcO zs`0ts|K@b<_tn>Wwcl6czSsA!-09=k@2j|f#m}!g&rUyH&;7oN%U%DV#^+8S$9`W8 zU%UQ6ooA0U zvENtm+*Rk<>Eqb%tGL|t59&NSeH{CJ70+FDo}E69{l1FJUH_oYv(v}1-&gUu>mStl z!`~{$ZXcgJ{&3uRpTX;U{pU~a^l|L>RUG?$)jgk`K92pqipyR9pw6??$Fbj6@ww|C z)cLV*mt(h&&mDg_?!3?7^*w)ncKSH>`znsR>YmR|AIE-Q#pSMlQ0Lj{$%@oak=Xs)cKPe<-fAris!C6KXtC$vtz9|?yB?b^!eOhU2Vm2 zSDk-up&Yw?-iPA2tIo62$DP`2#c@}i|JwO-U!QHoaaWyZr_b}J`>iABXr+ck9?yB?b^!fZRt+e8}tIo62$Df&K#pSMlQ0LF=l$%*^#dBAkKf6@! zm6=u?ch&h|JHtAeeM6Q{k|Iaz5f26JAEAceHF)kUv>Udes9CG-&b+mRp;61RUCKK`G0Sx%iVtbby*yD)%e`$T+*RjqTrS6MpXXB?ch&ikv2r6xRp$>)lsmN3isP<2f9HC+(WO=#ch&j3X38BNZN+g{oj<%? z?(Pe%IPR+RN9N11-;cj8_xm{Rs`K~kmb-VO6~|q5{&UOa#?H0kxU0^y)8}*FceNGA zU3LEc)pAD{T5;S}=h^A={0Bx_aoknsAJ{DSh4ZaA?yB<-&XyY=Z^dy}ogeR)duXi{ z$6a;)i;LwRo^Hi)SDk;PSMHImRvdTLd3O5odhYjC9Cy|EV-w|%?X=>!tIkiXmwRlf z6~|q5{_&Y|Um9)2aaWyZrysB9eqY6LSDk04k2^luisP<2e|)#xQyZ-~?yB>X%jKRv z*NWq=I)7rU9J_sf4vOQhI?qlY_sl{oj=SnSJAM4gkyadc)%lZ~<=E}>J`~4Yb$)8L z9J_ry#c@}if3{za-9Db;xU0@Tw^)wdKAz&ZtInV5l{>Z7isP<2&rYA`vfo#6+*Rk< z>Eot%T5;S}=bv9M_rg*uj=Spoi!2`~F%hj=SnSJAIzZeqY6LSDk;oSB~92p5nNx&i`Pg+z;nk zaokns-EU3LCf^W}a$*^1+?I?qm@=d#~daoknsKUprvZXZu^+*Rj)J64X}KAz&Z ztIo62$Nhex6~|q5{tr{-{y5T#?=gW|ZW&a>0UvENs5+*RlQHc^iKKAz&ZtIqGNm;3ut zD~`MB{HHVJ*zNOtisP<2&rTo5eqY6LSDn8$Uyj{Ap5nNx&a>0UvENs5+*Rjym&>u+ z$5R}4)%e`$$LqP@SHtC9b)KC*?)rsR9Cy|E8>Y&!+vj~Kj=Spojhp2@bG{YFU3H$F zKF?*puj06?&fnB8ck@~+j=SpoXBW%eGTn;ft~!5fuiUL$tvK$g^X&BF_1y2PIPR+R zy@~R@omL!o)%pGF<=E}>e2U|)I?qlYcVM&?$6a-voj#uZzKY|nI?qlYcjsg)j=O4n z?)Sg(m)h^E-~8{j-&fsWtIo62$N#k7 zisP<2&rTozv&B{%ch&jL>2h!PT5;S}=h^A={C8Gbaokns-<>PRZlCv|IPR+R7kA42 ze7zONU3LDwrE-^MT5;S}=h^A=xwp1kaoknse{rGQ`}3_h?yB>bC(B*lZN+g{o&R8? z+=t7pIPR+R?DXUH-0!P6?yB=2T`j-8+KS_@I{(Xsa#yBWaoknsKOQOf@n$QIyXrhU z{dhh1`znsR>O4Dr+;94=IPR+RpRAR;y4Z^2t~$?7pXdLs*NWq=8lU_9SMR9({;-#8 zzyGRw$DV)x%AG!L-_`4m4;yaZSo~|%cy{`@-a@D0@~#@cKYnlUw~urhF7K-G?DX+> z#Gm)D;qtB;e_*!U!SPPR`@@FIyJ|c;eH{D!VZ-HJHJ+V5j{W|y;qtB;&rTo5et+0-c~^~Rr;lU5KWwhYgo^)p&OLIQIL)hReHZJUe|H`~6|V zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aokns+3Dlh@2fcOs`H1xRgT?0p5nNx&a>0UvENs5+*Rk<>Eqb%t2pke z^X&9-?Dth1ch&i^Z`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI)9Asz2T4XJ$6tWchz}z`nbpV9y=(GyXySoeD4j%eqY6LSDk04k9&ge zv4i5ctIj_;SME69W5ZJ%chz}z`nadoTXEb~=O>rSJw4NkZMNdLtImJze7UdBw&J*}&a>0!`P2PY9Cy|E=hw=;u-J;@ zt~&qXbh*>LRvdTLd3O4I{+Cu-aokns+3DlYOtj*-tInUJP) z?yB?b^!fa+ZnxsNtIo62$A5Fa6~|q5{`znsR>ioaA)8%eI{<_-nhv$wTyuR0;U+(mA?Dy4h zwd)_$JU@5(xEnUgQ~dS2t5^)Pq*T@tIo62=jXm-s}+~K{z08Tuu|^eTq~Zt>inUJa))+Waokns?_4i8 zy3~r}t~!6$Ou56OtvK$g^M|*~-F=}I$6a;)$b32W`|;Q1ejmqOb^e~+a`$eu;<&5M ze{Q+l*tu35chz}z`h4#DuD0U1tIprQTJGpVD~`MBJUe}!|G-Eqj=Spo1DoZ(aK07C zU3LD!*>dCKtvK$g^W*(;53RN0xU0^8ak1RP)2%q}s`HQZ%005xisP<2&rUyH&;7oN zkEb~9 zs(b!(i{;qu<0+22>inr*xl>!MIPR+R?DTmq`+XJ1U3H$FK5lxa6~|q5{`vKCFD$j< zxU0^;I8*NQXe*Ap>ip^La_si`IdaDzo;!Z<`ksIOFVB}_w~wbd?y7tKncZ^i_VEzbUMIQIK0j=Spo=2$s)`}o}Phv$wTyuRn}|J$qO z-dSkHaaY~*-<>LVaikT;U3LEAW;u5Ie13}Kt~&qTY&rJ(c#7k$I)ABOZfmU-$6a-v zoj%Wff4UXNU3LC)uN=F5-Y0kb;kn}nukZQi_rXfJ59eBO+*S8{cKSS*{l1Fht~&qG zdb#bTRvdTLd3O3d_sVE1j=SpomF;ru_IV$QEnLC(2C=(I{$~Ma(^6Y#c@}iXQ$8e+3%}3 z?yB?b^l|L>RUCKKd3O4^KdrUma@Rko^M76}_m}BbJa^UkzxK-gb*mM}U3H$FKA)fc zzKY|nI{&wca_si;6vth4erLVh-EjNJ zw&J*}&a>0Uv)@;7+*Rk<>ErI4Y{hX`jnDo5tN*q3`|39yuKm6m_r3o6TkiC6ukXe` ze;yR~I-h4y=h^Aw*zc=2?yB=|oGbUEu~r;+)p>UMJonAjRvdTLd3O5vA5XR7xU0^y z)5o*lS8?1`=h^Aw-kNR2aaWyZr;q<>zZI9e{z08*r;q>HVk@4z>ip((xwm_*IPR+R z?DTp5J1ea??yB?e&Xr@g&-+juch&ieJLP`9-iqU{I{)5Mxl1#xIPR+R?DYBETidO; z-1QIY{4Xw)dw;$a&s}x?@?^QoyRA6xs`DRgl>2bG6~|q5o}GTYp8I_j$6a;)qpRh& zS6gx1Rp)=XQ0~f9D~`MB{Kq5ZKHhA_aaWyZrysB9eqY6LSDpXOc=_M-TXEb~=Ra91 zcXhE9$6a;)x6|c**K5UbSB=m8{@MSn_WSB9FV=ovjr(4I|H_>{Zr|1Gj;pwRW7i#5 zooAn*h6xU0_ZkKY^o?IW!??yB?b^znDZpSOzRt~!5Uw%oz-RvdTL`Gfs(hvLth z=TjVa)%iOY%ZRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69 z{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb1 z9Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06? z&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$F zK92pqisP<2&rTo5eqY6LSB=m8{ww3P-ygPL`~6qc@jbtP`@@FIyJ|c;eH{D!VZ-HJHJ+V5j{W|y;qtB;&rTo5et+0- zc~^~Rr;lU5KWwK6+==Z@!{uEy{>vB2Ju}~FxV)>zv(xA2|1Z0phReHZ{K<`SUs>)nT;5gVr_Pmo zcC6EIc~^~Rr_bmA>T0Lq@~#^H+(J2a`@By!T;5gV+3Dj>ZFU+i@2c@%J74bWvz>;^ zyJ|c;eV#wv?=)QARpXyuEBC@;r{VIh8vo*SxzoK)!{uEyo}E6Q|D}~q!{uEyo}E7a z%tWW*@~#?xW~bcDdZ*#?t{Q)KsoX0worcT1YW%CCeXs8?xzoq7-&b+$ z_f_XV?UiG{kEb~9s`KphasQZW#c@}izcx|s+DnYJBeWaqRciaCujqzkave4I8aE?yB=QE|+7s&+{pcyXySN zSh8nIPR+R zxAn{Q)>?7gRp<9Fmb-nr6~|q5o}GTYp8I_j$6a;)z)JapbFDb;s`G~?${pHi#c@}i zzjM9Z=u#_=yXyR1GvyACw&J*}&L7?`clU)>9Cy|EBlG3h@5f)4`+XdD)%kmN%iX)t zisP<2|GDLIW9M3N+*Rk<>GQeoyV{E5t~!7JYPq8etvK$g^X&9_{sSYeIPR+R4{VnE z!ueJlch&g^XUmO`x8k^~&X4!YJ+#(}c3N@VRp%$x%RRQ#isP<2|M*O~FO9b1xU0^y(~sA4zpvuBtIo62 z#~q(+#c@}iKfYV;sf|_~ch&jH<#JD-YsGO_oj);Fj@>>#2gPw$ooAio&ga_shbABy9yIzKgAj@>?<;<&5MKie+$6a;)#hG%aM_X~+ zRp(D{mt(ij&p~nARp(!xFUM{lPjTE;=g;hxW4Di|IPR+RXP3*d+s9KJch!0J`8am_ zDvrDA{CB3xvD?Q}9Cy`ucKW#gINyrnt~&qS*>ddmc^``7t~&p{e!1_jwc@y|&a>0! zx$O5<9Cy|E*L&sI?c*tqyXyQ8R?7Wwt`)~!b^eWsa_shbKE-iYooAGq3*ORR{?yB?b^m#7( zeHF)Db^ep(a_si;6vth4{>Eo_nXvJ|? zoxfqK9J_tqhvK-a&fmCM?lb3Gaokns+3E9K_WLT1yXyQ+{c<<2wc@y|&VP2X+%40s zIPR+RxAw~2y48x~t~$?7KVHxMzKY|nI^UZp-`i=$aaWz+zg~{rKF_B(?yB?b^l=A9 zTXEb~=h^Aw+3%}3?yB>L=F73)$5R}4)%e`+pMAIX`|2zIt@itB-1qwDzq!-Ly}ld& z``Rp;61ioNN<=E}>J`~4Yb^hW`xu37M;<&5MzqeHG(o8FkyXrhUeLnZrb}Np% z>ijP*lzV@^6~|q5{_O?yB=2Y?S+OxfRDiowe?zoEEH+J1|)p>UM zxZXl5j=Spo{`kGY-#*fcQ%SDimHQSQi2D~`MB{5|XC?p_SDk04&vV)Dt2pke^AB&9W4Di|IPR+R?DTQ$ z_f;Hs)%i#J<=E}xDUQ49JUe|H`+XJ1U3H$FK92pqisP<2&rTor#9S+myXyRt6Xl-V zX~l6@ooA=d^V#pKIPR+RCu6^YW52KBxU0^y)5o#jS8?1`=h^Aw*zc=2?yB?b^l|L> zRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D z;<&5Mv(v}1-&b+mRpWENKXbnJ`|8=*+V87z-|PEV?(}i&_f_1#;^$YLXQv;p=YC(s zaaWDceLjx;z8Ws?s`KpgaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|n zI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5MAO2Q3 zcKdjW?<;<&5Mv(v}1 z-&b+mRp;61UMIQIK0j=SpovH0GLJJxT-aaWyZr;mS( z@2i92xU0@T9^ZR$?Dth1chz}z`nV_fzB(w5yXyRtbLEcneKkDAaaWyZr;mGTy%on@ zb$)WG+|x6yIPR+RCq~Pi*lxvfSDpXzg>ui#x8k^~&a=~x*K@zG;<&5MpWG<_mE~3( zch&i+bLE~LYsGO_ooA=d=l<$yD~`MB{BsNC*zNN^6vth4o}E7K)MhJ=yXySc&X@c8 zY%7kt>O4DroS(!Fw_9=CRp;61$LqP@S8?1`=UZ5yp|H_>{j{W|y;n?rvv&MfK zzqdGc`@@FIyJ|c;ecV6hIt`b1)%a`idyBue(`mT8tH%Fxy&SuJ-X|L_@2c_a^l|L> zhYgo^)%bsJr_0@b_-eSkt9$$0>Eqb%5Bs0B-;X~(y}sA?@7(F*Zs7M#4R`%+{A<-b z|Hk;e#j)ETHeB9S<44BIja=-X}G+r#_wM&cl&gw;qtB;&rYA8`;M(n!{uEy z{=iDPgL9pR%e!j)p^0*bb~+80ch&ei*UODAbs8@3s_}Qtlsi1yX}G+r#vk4;clU)( z!{uEy{>Xee_WS%fWW(iMHU6I6a`$d@8ZPgu@t<2RH+HVmaCujaXQ$8SzVB+M;qtB; zfB$N^qYIse%e!hkJAI!2z(}Xz@~#^Hz-GBGobNPT-c{otoGmv#-f6hJtHzJ_%RRK# zX}G+r#(#0K+{4qIhReHZ{3E?`k8E`sF7K-G?DYBf|IxWl!{uEy{@6shV>_LO%e!j) z#Co~MmO2fWch&gEXUctPw9{~TSB+<<&(HtFg-*leT{WJaKK}S*r{VIh8h?DZ+*2E! zhReHZ{N!@Er_Xg7F7K-GC&tRL+vn%VhReHZJUe~dGYg%D%e!hkJAM4gkxs+qT{ZsX zW;u5IyiYb<-c{qLX3MeL$7jRkT{ZsMemQpg_-wemtHwXKSdQI3J{vCYs`00Ka_shbel}d*RpZ&|veR&RSB-ybw;cO@o}Ueuchz`y z`Z)Ic!-mVdYW(I{Id=Q_Y`DCu#=pHz|8}e#yM25%T;5gV z+3DkcztCy8ysO6lVXE98M>-9cchz`y`aGZg{;=Wlt{TryAIE-w*l>AQjc2Ei`_o#d z;qtB;|L4VWf0^zyT;5gV|Jp0ZZlBMQ4VQP-cy{_Y_WQ$z%e!j)-zLhj+s9|a}VZ-HJ-P`AWf9AQ`@2h7&Tl;-A?tA^; zU+(mAukXe`e;yR~I-h4y=h^Aw*zc=2?yB=|oGbUEu~r;+)p>UMJonAjRvdTLd3O5v zA5XR7xU0^y)5o*lS8?1`=h^Aw-kNR2aaWyZr;q<>zZI9e{z08*r;q>HVk@4z>ip(( zxwm_*IPR+R?DTp5J1ea??yB?e&Xr@g&-+juch&ieJLP`9-iqU{I{)5Mxl1#xIPR+R z?DYBETidO;-1QIY{4Xw)dw;$a&s}x?@?^QoyRA6xs`DRgl>2bG6~|q5o}GTYp8I_j z$6a;)qpRh&S6gx1Rp)=XQ0~f9D~`MB{Kq5ZKHhA_aaWyZrysB9eqY6LSDk04kNZu( z6~|q5{*$$GR~K7x+*Rk<>GS;G^;&VErK+KW`P6yZ%9)KQLSF;CL&Z zyXySGez`;O=gspej=Spoor~qz?c*tqyXrhUecWAJtvK$g^M_Z;-96WeBsB2-&b+mRp%cZE6;8ppF95W-0_3g_x#U~@zrt?R z#d42Lx8k^~?)mKWc`o~X6~|q5o}E69{l1Fht~&qZL^<~Rc#7k$I?qlY$9`YM<*t8F z=TF8?1;>70#dBAkXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb1T<-b@ zb)KC*j{Uxh=dL=>P9Mj9U&ZCFe^BSy>Eqb%t9b6J^X&9-?Dth1chz}z`Z)IcDlT{Z zgF4SnAIE-Q#pkYnQ0Lj{O4Dr9Q%D0&s}w%oj#8JzKY|nI?qlY$9`YM z<*t8F=h^Aw*zc?O-1QIYJUe|H`+XIkyZ%9)XQz*2zpvuCtIo62$Fbj6ak=Xs)OmLL zIQIK0K6m|tI?qlY$9`YMb61^br;lU5ui|poKdAHU^l|L>RXlgqd3O3Z_WLR>cm0Dp z&rTo5eqY7su76PH+3Dlh@2hz3s`0tsKQmJM{b4t2zkfy@+4K8X?(}i&_lFJlulV-` zpEaJHez@H44;wD;>fSzg`Z)Ic!*14oKc27G_xkTIxzoq7-yb#{`+a=YJfEFDj{W|y z;qtB;&rTo5et+0-c~^~Rr;lU5KWwz zKOWzEaqRbp4VQP-cy{`@C-}aqhReHZ{FCv$7k8ZRt7^EstH!g_$33;)X}G+r#!oJl zdwQnRaCujaKQUVF#CE6Q@~#^HGSjdm)%ao0YPd@~#@sPM^>J(n_b{ z@~#@sP9J|}qSJ7BSB*cjQ*LIx({OoLjX%3o?vzzcyL!wcSp`IVg_1 z>O4Dr9Q%D0$6a;)-`nYOw;z997ROyRKKJ=J_WNqMysOS%zgzBxjaD3Y)%hEj%dy|* z`4q=pb$(>5+{o2d9Cy|En^w!+ywHl{t~$?7pU=&HU&V1(oxf$X9J_ry#c@}i-#1(C zw((XRch&jZ`sI3StvK$g^ZOUe-9FulimPV<;KTbaokns$NS|TT5H8|SDpXjV!4N>TXEb~=O5{ndt|E> z$6a-voqoKY`+XJ1U3LE0MEPSotvK$g^Aqdk9$RX~aaWyxe5Tx&Mq6>*Rp;61$LqP@ zS8?1`=h^Awj!(AYxU0?|-!1plMk|iH>ipz#xu?&y;<&5MpBO90Zl9ln;<&5Mv(v{t zv(Sp;t~$?7AAfSB6~|q5{^VvkcKf^!#c@}ipPDVlZXZu^+*RkF?U!S>kEb~9s`Jk+ zmSeY%r#SAa^QU^{PHnZ~xU0^y)91PD_f;Hs)p>UMxapl%9Cy|E=hw@O4Dr9Q%D0$6a;)J5%M@?c*tqyXrhUecXSXZ^dy}o&WA^Id=QJ55;j;o&R3H-1pa7 zaokns+3E9K_WLT1yXySwy>jgK@f62hb^Zq{<$gHVisP<2|Hec)cKbY^;<&5Mv(v}D zxzvi|t~$?7AJ2YY#c@}iXQz+*9~WA2+*Rj)GGFek$yOY9)p>UMJpZQ~tvK$g^X&BT z?Dth1ch&jLv2yJ8@f62hb^h(ua_=m(;<&5MzdKd#;z%owyXySK&2sGa`TP{eU3LDw z*>ac0TXEb~=P&ikZLPK9xU0^y)8}))Ki!Jst~!6YSB~92??Z9iRp&ogDfi)AD~`MB zJUe}!%YI+QaaW!HXuaI_QY((T>O4Dro_l4q6~|q5{>pYacKf^!#c@}i|J8iCUr)B; zxU0^y)91PD_f;Hs)%j1B%dy+XQyh2I`QMI}W4Di|IPR+R?DTQJUueZ~SDpXERJlKn zwBop{&a>0!`Rw;q9Cy`ucKSH>`znsR>O4Dr+@IE3aokns|GZf4FVn3!?yB>D?UiG< z&*z{x?yB?b^l|L>RUCKK`M*t+W4Di|IPR+RJL~2CzSN52t~&qeOgVP@JfGsYtIo62 z$Fbj6aoknsug#ZZw~wbd?yB?b^l|L>RUCKK`Q7Dm?Dp{#$6Yl(_xbU9?)TMjc~_lh zpO3qKp%uqnb^eB_a_shbABy9yI)CG4xzC($#c@}iXQ$6|+3%}3?yB=Q^~>G7){5h< zI{(?la<@#k;<&5M-`Xp8>sBj{yXrhU{dhh1`znsR>U?jad~c@}$6a-P|9Uxg`#hiG zxU0^y)5jecZN+g{ooAM|TIPR+R?DX;M_f;Hs)p>UMxVL6oaokns+3Dkd+Hb{iSDk04kN??XD~`MB{N{AI zw|lKP?yB?b^m+a}E3G*0s`Ky8m1DQh`%oNr)%lA%<$k{2isP<2|K3u$OEaxF?yB?b z^!eOd+pRe6s`J0NQ11QtRvdTL`OA~#F7LMDxU0^8uu<;AUM@p|s}RUCKK z`H!xa-(GFSaaW!H{4es`DR@l>2zI6~|q5o}GTYp8I_j$6a-voj&e2{Z<@z z)%j1>%3WP-#c@}iXQ$8ef7ffpaaWDc{r>59Yrn6)^xtZ~uf~0^zklUUAGh!7b;niQ zzOn0$tIo62$MqIkaokns_s8!I{`Qep9Cy`ucKY}`;?G;faaWx`Fk9~6cq@*(>iofe zxkK^i&GRXayXyR%i{;qu<0+22>O4Dr++AC(IPR+RhgZtoJ=cokt~!5YqTG?4RvdTL z`FqyO-MiF^Eqb%t2pke^Cx4!f@8n0;<&5MPyO%Z*ze;hj=SnSJAEAc zeHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fht~$?7AIE-Q#c@}i zXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr z9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb% zt2pke^X&9-?Dth1chz}z`Z)IcDvrBqeD3#OTB!ZL`tnrm_tm)X_5CaN`8f9bD(+wL z^Q+FY(~sA4zpvuBtH$R(AIE-Q4VQP-dG`4@_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`u zcKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0U zvENs5+*Riff2$n3eLTf+SDk04k7Kv5;<&5Mv(v}1-&b+mRp;61Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3LCgeDB2_ z>$l>#tIo62$3MpR)j@IGRp%d%@4Yzo`znsR>O4Dr+!K6X9Tdl1b^gh@a>x0;8lK{~ ztIo62$33;)isP<2Ke<%y>6um>ch&h5qvcL)x8k^~&VTtrxo75Eaokns+3CmYx!+fD z+*RjKZj}GZax0Fz>ipEXa?g&n;<&5Mv(x8ue|5DL$6a;)xrK7<_IV$QY3+=}C_8lU_9m-p3vf7thGzyGqjZ_n>vxzoq7 z-yb#{`+a=Y_)p{a7RPRX*l>AQjc2Ei`^Q|T;qtB;e=UA*@z-`b4VQP-_dz8{Q2qiy}p0vP9Jvz zzi(=|>v!W{tLFJP#_uhT-TtuQ@~#>`GFEQnYNz4yt{Q*SYPp*iIt`b1)p&OLd~Wvp z!-mVdYWyvm<=E}xv*Gft8ozJ0+->8XhReHZ{B8Ymy|qrm~cm>oi>6RpSp$lsmN3X}G+r#^1SKZgi>BaCujaziX!4;n7aR z6LqAtJ83KSB+<<&%ghV&UG3t@2c^~ zCdwV#=`>v4RpTet%RRQ#X}G+r#y>t&?n|SchReHZJUe}U{wFSU8ZPgu@$B^R$0s`t zmv`0pEoVR=rmm3RpZ&| z<4=xs8ZPgu@h3OSvD@c;vf=Ws8b38#j@>>!8!qpv@z3_lvD?RI!{uEy{<+0+?Dp~5 zaCujaKh-ODYOB+5c~^~Rr_XcQ?++U;@2c_a^l{TWorcT1YW(x-HJRjsIY!+=p|WhReHZJUe}!%YJ{@aCuja|7gA3_EM+e@~#@sPM_yq8SOM& z-c{qTY?ouV&--M<AQjlVWuj@>>! z8!qpv@$B?*?DvNamv`0p-Q{xZ_VL+pc~|%Lxzi7q`~6|xtNnib^8>xU*FS&Aoj&gR zg?xTB9Q%EI);#})sdDW0d7o^!ysO6FxLNKq=Q|CTchz`y`aGBY{;=Wlt{Q(+zue7h zorcT1YW!yx%iS{FX}G+r#^2g2ck5QC;qtB;&rYA8`?k4G!{uEyzBf^>x6^63ysO6V zUoXdQpU;sEmv_~8cKWyjqn(D!yJ|c;eLVa9VZ-HJHJ+V5?#{_h!{uGw+vk4&rK7dq zANKdP-+xIxy5~Rt&7D5(_1*aA&uX~W5nPQ&G0HJ+V5&wpp7({OoLjemEp9J_tqCmSyBs__?h z%Kd!3({OoLjel>c+@+aL!{uEyo}E6QduzMXaCuja|HXxJ@6UG{F7K-GmnX|z-t9D8 z-c{p2*eLhma;M?)t{TrypP&Duu};I~T{ZrrtL3&=I}Mk2)%ag7l)Ez3X}G+r#(z9g z?&Hl)!{uEyo}E5F|F35|4VQP-cy{{u-}E~Tmv`0pPu9v^UFGS;G^*Rlg zcXe-{`~4T6tNp%u`m?p)SL43de}BxKK5k$99;mo|@%yAY&rToLi{Aqk$6a-PfBfFy zZjavs6~|q5o}E7Kj`%%Lak=Xs)cFImKxU0^y(~sA4 zzpvuBtIm&Ym%r~qD~`MB{QdLgj!w4XxU0?|-7WX|jaD3Y)p>UM@p|s}RUCKK`3J|! zv)jk#jz2ti{NVLH|MO#fwcJAstvK$gdp`znsR z>im-v<=E}xDUQ49JUe|H`+XIcyZ%9)KN&j}9Q%D0&s}w%oj#7;zKY|nI?qlY$9`YM zaaWyZr;lU5uj06?&a>0UvENs5x$7U)d3O3Z_WLTHyXrhUeH{CJ6_>mIL7iu(k7K{D z;<>BNv(v}1-&b+mRp;61O4Dr9Q%D0pS%7+ooArE`UiEM zoj#8JzKZ9rI?qlY$9`YM<*t8F=h^Aw*zc?O-1QIYJUe|H`+XJ9U3H$FK92pqipyR9 zpw6??$Fbj6@!VDC+3Dlh@2j}n^$+SiJAEAceHEX({z08*r;lU5uj09@#^-+j#jCa7 zS5L3jeqW9IUjO|gcltQ?`zr2V@$ZZ3JUjh(J@@-6j=O4n?(}i&_tkKDSDk04k7K{D z;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5{_wZTvD?Q}9Cy`ucKSH>`znsR>O4Dr z9Q%D0$6a-voj#8JzKY|nIzRU9a_si;6vth4o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2 zzpvuBtIo62$Fbj6aoknskMX@X{IPy3j=SnSJAM3Pe2*Oz$6a;)alZG4W52KBxU0^y z)5kr*_t-&k+*RkFoGW*n@3G-2j=SnSJAK?!>#aEMs`Hae<({5t#c@}iKQUVF#C9u= zyXyRxFO+*`z7@w^b)KDmyq^1g6~|q5{^UmauPnFXxU0@joh$e3SSyaZ>O4DrKKEBw zTXEb~=bu|B$8MkZp*ZfU^X&9-r#4%0+*RklcD~%#XIpXHRp;61^Ze<4D~`MB{PSz& zURZ3!aaWyxak|{;UMr5f>O4DrKL1NAtvK$g^X&BTXC_*4+*Rk#?39~XZ^dy}oj1(y$SL43d_pjXN*+oxM`+*Rk<>BsB2 z-&b+mRp$?^ls`DvisP<2e`uoIp`BJ7ch&hj*UODAwc@y|&fhgt?(k?Uj=Spo;q7vF zUueZ~SDimHUyj{={B^nC$8lGkzh}4Hy&J7K?yB>jTP`T&y@SpXe*Ap>O4FBcs=*~DvrDAJUe~d@yS*kch&jhyXBtRXvJ|?ou6DT_w>0| z9Cy|E6JzDr?elX`9Cy`ucKWzy7Fu!KRp;61<4=yX;<&5MpWH0RZlCv|IPR+RQ?upR z?c*tqyXyS2{c`N~@f62hb^f`?<;<&5MpIt7;ZXZu^+*Rk<>Eqb%t2pke^WT{&$8H}_aokns+3Dlh@2fcO zs`KBSEyr#jPjTE;=fBr4_x-h29Cy`ucKSS*{l1Fht~&pEuN=F5JjHQWo&Ui~xgXB8 z;<&5MzcEpc-9FE!IPR+RKUy#M=29z;yXrhUeV)sHU&V1(o&WK6x&LvY6~|q5{wMS0 z-kNO1aaWyZr_bkRx3A*3tIo62$Fbj6aoknsH^<7c+s9KJch&i~SIfP#(2C=(I{)re zxr-yMIPR+R7dOkX+voFB9Cy|E_h!po8gIpMSDnAqFSoVUisP<2&rYAu{r+?-j=Spo zJ`~4Yb^cfL<$gWcisP<2&rYA`vfo#6+*Rj4SuV$JA5U@IRp);@R*v01 zp5nNx&a>0U{eGbp$6a;)4^!p-IMRyat~$?7pXamRS8?1`=h^Aw*zc=2?yB?b^l^V$ zYsGO_o&WP?<;<&5Mv(v}1-&b+mRp)n? z%dy+XQyh2I_}u5m>$%@o!{uFdo_#*<`h`{;ch&hDrpmF~=Y1%SyXyRno8>-pz7@w^ zb)KC*&t<=_;<&5M-_$R6^I9v8yXyRB7t7r;-HPL`I)7`g+^t)!IPR+R?DXUH-0!P6 z?yB>>iSoUjRvdTL`TgtV*zNOtisP<2&rTnAV6+v-U3H$FKA!!)isP<2&rbjU=iB~b z>#omz&vyeg6vE<95)%SlkOHPKjiDu&l${!Az(CrVqKzyaY2-^^S~}Ip(%ksc(nupq zM;hhQmywP%vNYAms&-o|EybPEseu$+0>TXi(tjiOk5re_wC;T0&$B-3`Q7+)_11n? zUhB8q_-wik`-3|?QN?jrjgS5Qi~lY6`|6qhlKXwN-S_+Vzp>B9UD#{?{&`T`1wPN9 z&a>0UvENs5+*Rjaok{oOeig@Eb)KC*&wXvRisP<2&rTozlgTQMyXrhUeLVYp6~|q5 zo}E7K_1P+pyXrhUef-aMsyObd^X&BTKVPikxU0@@PNjRJSH*ExooA=d^WR*l;<&5M zzcrVR-9GO_aoknsFYc!M#d;OTU3LELrF8F1S8?1`=h^A=xwp2fIPR+RzdWDr-T5kx zyXyRdw;o#)`As`Kph+v~C4S8?1`=h^AwKHjO~xU0^8vX<`3Vim_-b)KC*&;M<& zisP;tAN&31|F7Kdt1tYox!+gYeZPNx#ZDi0;L2xCsJH|D&zw-5XQz+rEmU#bRp)PM zzc=_>N2)mPs`Kph@wc_VZxzQ~b^i9*bO*<(IPR+R2Y1pPYJcB6pW?Wy&L3V($8H}_ zaokns+3Dl%*s9{VtIi);Nq6U56~|q5{^)qRqq|icch&j3*3;d+RK;;uooA=tUXT60 zisP<2-``Gu&-p5jyXySC^XZOFRB_x@=a22B`@%*Q$6a-voql^g_WLT1yXyP{{q*ei z@f62hb$)C$-Gd8N9Cy`ucKSS*{l1Fht~&qFW;%BJc#7k$I?qlY$9`YMaaW!H(oQ<| z`*@1ut~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns9~)1{ZXZu^+*Rk<>Eqb% zt2pke^QYQQ1;>70#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb1 z9Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06? z&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$F zK92pqisP<2&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)Db)KC*j{UxhUM?e*C2tGL+p4{Chu^l|L> z)#h{8KdAHU^l|L>RebFF2X&sEK92pqis!C6&rTo5eqY6LSDk04k7K{D;<&5Mv(v}1 z-&b+5>mSs4cKSH>`zoHh>O4Dr9Q%D07rXvJooADcY#W5?edciw04`hNfWCwBTc_WLT1{l4m+&rTo5eqY7Ku76PH+3Dlh@2mLO z^$+TN|2yf}?c-y|-yC<|XYl&IKR-Kt9Q%D0$6a;LXQz*2zpvtA*FUK9?DTQ$_fUMIQIK0E_VHcI)A)<@5LS8sp7e-&a>0UKf?FbL2=ww=O1m~dvWadRUCKKd3O4^ zukd|!P#kyF`N!tco#6Xw^AyKjb)KC*?(y|1j=Spo#8SE^rmHyas`DpD)1BO|;<&5M zfAxI2C+Dj;?yB?b^xNyP-&b+5>mStlQyb~Owp_(?SDl}nN%vI0isP<2&rYAu{q$-T z$6a;)nT2%h_IV$QJjg=~nyXrhUef-(+DlT^YgF1h9H{JAl70+FD{-vdK z-O4FB_Im91Rb1@)2X+3HiS)1RRq@z|8l>tzW9IVeqU|({r>qMJAEAceHF)kUv>Udes7y+zpvuBtIo62$Nhb-isP<2 ze|0?F)!izNyXyQu*3+@u=W|dTchz}z`Z)IcDvrDA{J*xt#csd-xhRgiYJBYUaqRci z=Hjk8f6ZRHYd5Mm?yB?GEvI9*&+{pcyXyQ%Ki$ZcDvrDA{PnBpZdj<|xU0^y)8})u z-&b+mRp)QqOvi2?PjTE;=MT)LyLqgN?nS{27#b^eycbhl1baokns+3B~} zW52KBxU0_JzLNgnTouP%b^g$Jx9b2g4xU0^y)93m3jZ|^mRp;;9On3jeDvrDA`~$P;#>T2R?yB=+JLw)=tKzt; z&VO++-9uAV9Cy|EhkNPR@3%kqAjNT4ooAUMxDyjq9Cy|E6MN|%->BlatIkg>r+Z?i zisP<2f3lyB-9A4D#c@}iXQz*Qa-oXjt~$?7AAf43isP<2e`+%wyM5k=;<&5MPtK-e zw~wbd?yB=o?WAM3kEb~9s`Jk*ren8{r#SAa^QU|1PH$Cl+*Rk<>GNFn`znsR>O4Dr z+|+Ir$6a;)`So-!ELCybRp(!vPIqRsisP<2e`Y%!`+a^6isP<2|IPVyXD6yS?yB== z_tLT3=lK-JU3LDY<#g=!@f62hb)KC*j{Uxh$J;^@%Eu zyXrhUeV)sHU&V1(ooAvxWRdL)^=ik{$x3yNqaaWyZr_bkpcdClxt~!6ImyX>& z??Z9iRp;MZN%#I-6~|q5o}E6=WxucDxU0^8u%2#vsfy#SI?qm@=UyJI;<&5MU*1l~ zZlCv|IPR+Rzn)L`(L@!;U3H$FKF?*puj06?&VRC;j@>?<;<&5M|E8ag-9Db;xU0^y z)5ra8p^D?KI{*90bblDB;<&5Mv(xAK?Dth1chz}z`Z)IcDvrDAJUe~dAJ?il?yB>D zT1@xnsVa`U>il1N>DcY_IVg_1>O4Dr9Q%D0$6a;)ujA?1?c*tqyXySzdb+d=v*)Tf?yB?b^m#7( zeHF)Db^iLDbT_P3aoknsKew3f#;GcfyXyQ+y>vHiRdL)^=h^AE*JHo0;<&5M_r}xr zcB?qO4Dr-0h=P9Cy`ucKUes`znsR>O4Dr+~J8Tj=O4n?Dt>z zx7_a!`_tU-zo7oxzW@F=cKWyrd+qsZa~JqLs`2dfaqRbpZ7%Mr@vqLL`*FY1=Hjjz z&rYA`zP8$Fb8%OVXQz+<$z-R^#a%U?oj#uZ{;T)N`jc2FN&;LQc)8^u?8vntSbla<)HWzo*_+KrgyFA%xb8%OV z|8OMThnt-?7kAZocKZDMAI)~!T-;UT+3Djy-s!ZtxU0s0vX<`3VyDf;T{WJaKF|Mc zuhZt@uI}$+zdv;>_xr>CF8BLW>al(Q`4u~T+=2FcFl=)N+V2xSYCJoAT(A8e4BK4X zRpW1Izc;vB+wZ}!&Ba|co}E7Kw)T54Y;$o}jlaG9-rx?l--BVBi@R$4!S;KDJJfy; zhHWnHs_}>0?+uRK{;>eh=R0jK?yB+k&Zj#z(P?vWSB*cmm+lK2 zoi-PD)p&OL{QURNblP0pRpTG%r(?Iz`$U_IyK4N{YPts(I&CiQs_|c(Oviqo=SQ21 zyK4MHo9Wo?RT{ZrHnoY-kA0KTl?yB)$+DXT5A0KTl?yB+Z^l^_&b=q9qRpZ&| zI+g#jL0 z?Dth1ch&gV>Eqb%tIfq-b)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy|E zBi~NPZXZu^+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDAeE&P?*zMyfj=SnS zJAEAceHF)Db)KC*j{Uxhw z`mZflaoknsCuh<<)vw~XtIo62=W{>3TE%f!oquK_9lL$rhvK-a&a>0Uo!+eCxU0@T zdoJB`vsD~-)p>UMJb!AZisP<2|NL6I7Z$5H?yB=IPNh53tKzt;&a>0!^M7NdisP<2 z&rTnIcD#z?t~!5qH{JAl6~|q5{-vdK-y zpZ3yyx>dz-SDk04&vXAiSH*ExoxeJs?&@w8$6a;)AM5GZ?ejS(j=SnSJAEAceHF)D zb^c%5;bOPn{#@?(o9B)nyuRPxU+na8?Dy5?a@Rkod4BBlao297r}%63s?J}xoQ~Z- z?-M)z=DG7egV*={{3HEzBUh?8?y7tK^{eS_Sg7K-tIo62=X0~)S8?1`=WpCh$8H}V zJO1Xm;|H(r`|}@|O?UHH6~|q5&%b#mU2m<5Z#as`Kph`MGb~s^VhT zKdAG!ucSLTSH*Kzoj)|5?$B-($6a;)@Orw@r7DiQ>iiwk>5hz6aoknsk8G#A^L!P@ zU3LEGd^+~~?a#%2AIDvF{;s`rcW+d2+*Rj4znrc=Q^j#tooA=d=f3Ak6~|q5{@&Ge z#}=wM?yB?b^m+b$BUK!C)%p82)7^irisP<2|G;dzv9T(SyXySdPPzxzsyObd^Iu#{ z_s~=o$6a;);a)m+`|Z!gejmqOb)KC*j{Uxhiqb6x<{6(IPR+R zk4~ri@@N&uU3H$FetSLk`znsR>O4Dr+=+=Qj=SpoiM@1>Z&Y#IRp%#`(>*a$#c@}i zKiN;mZl9kccKpqA#}8iL_n)8a^l?uvRB_x@_k4Ex_){ZQTb^g?5I(GZK55;p= zou8ad$8H}VJO1Xm;|H(r`}04wlaAd!p5nNx?)lFwru+I-6~|q5{&X+h>8&b`yXrhU zeLgq)eHF)Db)KC*ZfduRSr#mxR#c@}iKeL^V-9A4@?D(7K zjvu_f@8AD7=hLy@$5R}4)jj|0UOIOBc#7k$I{(sgI(GYbisP<2&rTo5eqY7Ku76PH zzdMiqX+)3MvTzQ2?12WwRvchx4)p>UMc=r1$ zj=SpoW9D#c@}iXQ$6|-<_)BxU0@z>ZN11&-=uVzj^NX!R!0}@2~e((!D=d z#c@~N^V#Y1T=x4aj=Spo2kYs!m#R4Ks`KphdG6)WDvrDA{N?R*?DlydisP<2|Lgg5 zA5Bzo+*Rk<>GNFn`znsR>ij3m>DcY#DUQ49{BQc{esiUY0!`Rw;q9Cy`ucKSH>`znsR>O4Dr+#lDfxY+d%>inM;)BSm>is!C6 z|Ce4mcKdt|isP<2&rTo5eqY6LSDpXscsh3bc#7k$I={P~?r%#~9Cy|EPp8wd+voWd z$6a-voj#8JzKY|nI)8OO9lL!z#c@}iXQz*2zpvtA*FUK9d&}wA?c*t)yJ~#w^xNyP z-&dQ9^NozpvuBtIqev)Ax3(IPR+R zx2&gQx6kt_j=SnSJAK^kqg5Pt)p>UMc=r1$j=SnSJAK^Yi7Jk}YJBYXr~Yg1_todW zn)`jV-S_+NZ?V(IUD#{?{&`T`1wPN9&a>0UvENs5+*Rjaok{oOeig@Eb)KC*&wXvR zisP<2&rTozlgTQMyXrhUeLVYp6~|q5o}E7K_1P+pyXrhUef-aMsyObd^X&BTKVPik zxU0@@PNjRJSH*ExooA=d^WR*l;<&5MzcrVR-9GO_aoknsFYc!M#d;OTU3LELrF8F1 zS8?1`=h^A=xwp2fIPR+RzdWDr-T5kxyXyRdw;o#)`As`Kph+v~C4S8?1`=RY1x z|M5;0$6a;)leKhL7OOb!s`I~@O847d6~|pQKKA?1{6RNR67XHKZjv(v}*7OFVzs`IzB-y8g`BUK!C)p>UM_}kjww~FJgI)D3Yx`Sg? z9Cy|EgFERCwZCtkPjTE;=MOKY8*P8zc#7k$I?qlYcgI#0$6a;)$V$39=c+jFs`E$3 z(;eNd;<&5M-?g6Z?xiY@yXrhU{q}n7_f;Hs)%pH*`g_h-aokns@10L~Y@&+et~!5g zFWnb5syObd^X&B7>#^TgaoknsALyrNw~wbd?yB=+tLYwGsN%S*&a>0!x$O2;9Cy|E zhc?r(+s9KJchz}z`Z#v`DvrDA{FippvD?Q}9Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI{(;sI(GYbisP<2&rTo5eqY6LSDioAb}Bgb`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D z;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|pQKKA?1P2_%m*uC8EKc`OY`~53+`Z)Ic z!#4L%ety+>cKXf5et+2J;;!!RW2cW}zd!6=?)TgC_4`@=RDchz`y`Z)Ic!!{Rp z)p&OLIQIL)HWzo*cy{_Y_WQ#&7kAZocKSH>`@=RDchz`y`Z)Ic!!{Rp)p&OLIQIL) zHWzo*cy{_Y_WQ#&7kAZocKSH>`@=RDchz`y`Z)Ic!!{Rp)%YXdPRDK^A8jt~s`2df zaqRbpZ7%Mr@$B?*?DvOlF7B%F?DTQ$_lIpR?yB+q@1$e5kB>GNchz`y`Z)Ic!!{Rp z)p&OLIQIL)HWzo*cy{_Y_WQ#&7kAZocKSH>`@=RDch&gg?Rzio_)e$I#a%U?oj(2% zzOSmy#a%W2(e}L;$9{j<=Hjjz&rTor6~3>k&Ba|c{;~GG7k7g1t7>y`SB+<AW z?zFkMtH!g_=jZ>A`A(aQyK4L^6X{;r>$JJJtH!g_=lL_soi-PDb$=iG{pbGQ-0!PX z|3~ik)ppW4*ze;hj=SnSJAK^W=c+jFs`FRJ(_P)I;<&5M z|6@HJyL~ z_VE#bFB+*RjqSxk59R29cvb)KDmdp-91DvrDA{Ov30 z56)F_+*Rifji)=bTg7o#oj<&uZgi=NFzvV#c@}iKRTa| z{eJs%vERpWSDn9WFWuc6RUCKK`Ohz>>(5ki+*Rk<>GQeoxl+Y(SDn9iHQlj=DvrDA zJUe}!f8R(I$6a;)zRh&^pR3}ytIj_#n{I5ZisP<2Kem(Z!L=%myXyQG7t=j7RmE{v zoqxEO?%}N}j=SnSJN@>0?Dth1ch&jhO4Dr{Hc*Dj=Sposm*lk_IV$QuUj=SponeBA!_W3y|j=SpoH|Nu_+s9KJch&i`d+FHi<0+22>ikQ~ z>DcY#DUQ49JUe|H`+XJ1U3LDulj+#)<0+22>O4Dr+<%^{;<&5Me{VJ&yM5k=;<&5M ze}5<457w$U?yB?b^m#7(eHF)Db^byx9lL!z#c@}i|KUoyAI(*9+*Rja9Z&b_ZWYH} zb)KC*pZm3?DvrDAJUe|n`+XJ1U3H$FK92pqisP<2|I_(&uTNBQ+*Rk<>GNFn`znsR z>O4Dr9Q%D0$6a-Pv!9OLKAz&ZtIof%n(oboDvrDA{9BXhE{;@j+*Ri#sMc^``7t~&qTO1k&w zsyObd^X&9_F8h5I$6a;)gY|UVOH~|q)p>UMJooZw6~|q5{_=J@cKf^!#c@}i|Mh&j zk0z=(?yB?b^m#7(eHF)Db^ep(bXR7oIPR+Rzv-uAzt8h2j=SnSJAK^m7OFVzs`I~} zO!tS8DvrDAJUe}!&wgLUaaWyZr;lU5uj06?&a>0U{c){|&pM&DKtIo62$Fbj6aokns|2m$I-9Db;xU0_ZuBZFkQWeKtb^g=obnNzdKE-iY zooAO4DrJo|kW$6a-voj&gM*(#2^>O4Dr{Lgl(IPR+R?DX+JU##M|tIlsu zrF)}S#c@}iXQ$8e-(0EUxU0^;HJ6UvKJP@JfH5}`6`aP>ingNbeHz3IPR+R?`@=ef4Pd|t~$?7zr7y&eHF)D zb^e1Z>9<#_IPR+RzgkFld9sS*t~&qWNV*R#^Tgaokns+3Djx-l^ia ztImJ2mhQ@86~|q5o}E6=|81{|EjMu`OJx7 zn>)~N|Fdd5JAGVlq0{E#t{Q(!`@O;6I?`!#aaWCJr;op_{e2JHT-;UTZ=X$faIDkj z;;tHhu>Icf+(Yf}d)VgUt{Q*1{odf%?GM{r+*RY*>ErI$>a@AItHvK$Nq6U5r_IG( zHU8*$x}&?DHWzo*_`BBA-M!Rlb8%OVXQ$84-yiL?xwxyw_qWsCbH3B&;;tHh?|iyr z6P-2}ch&e~d+ENg(P?vWSB+<<&(DAVOsCDoT{ZrJemZvhyic^bxU0sGt)_c$q0{E# zt{TrypXajQAGW!;tHwXHnU38)KH6N|RpZ&|>!+FaaKEqb%58GVaRpTEUPseT_A8jt~s`2dfaqRbpZ7%Mr z@u%8;1;>7W*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w z*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w*yiG{8qZE2 z$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w*yiG{8qZE2$9{j<=Hjjz z&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J z;;tIcP9Mj9f7s^Yt{TryAIE-w*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9 zf7s^Yt{TryAIE-w*yiG{8qZE2$9{j<=HjmI?_UMIQIK0j=SnSJAEAceHF)Db)KC*j{Uxh zyJbnN!=6vth4o}E69{l1Fht~$?7AIE-Q#c@}iXQz*2zpvuB ztIqeolaAd!p5nNx&a>0UvENs5+*Rk<>Eqb%t2pke^X&9-?Dth1chz}z`Z)IcDvrDA z{BgebHh-M&v4i5ctIo62$34RL*g_f;Hs)p>UMxUcX%c2FF5)%nNf z(w*RYZ1WVyU3H$FKJM}LDvrDA{KQhaC#I`7?yB=AN7J3$uHv|>&VTiMx+mwWIPR+R z?DX5~vENs5+*RjKZKVI&auvs2b$)Ut-BbN4j=SnSJAFR))2me+ch&i47SgfX=Y1%S zyXrhUecb8IDvrDA{IloMJvUp$aaWyZr_b}JcB(k;s`JmUrF&tqisP<2|Ke1-GrcN~ zyXrhUeLnv;R;oDes`Kph@n^@YIPR+RXLr+0uUB#0Rp(z?O82elDvrDAJUe|p|I6D| z9Cy`ucKZ1Ln6KivtIoeNk?xhfDvrDAJUe}!KeJrLaaWCx{rW4KHaL~xU0^y)91N=pR3}ytIl5?Pj_{GQeS z@2fcOs`EE)ren8{j~##W-0_3g_xmStl+gH*ZoU7uwtIi)9Pj_gyisP<2e|SCJ=u#EOU3LDB>2ya% zt2pke^GCMR-Fd!>Af9Cy`ucKSU3zL6@9yXyRXo9XU9SH*Exoqu39-Pl+a$6a-P zY$x4=YgHU~)%hWn_vAtq$6a;LXQz)pHB!aJu76PH zPi>}Sx6k`fJa^Uk$=P)5_VKafZ=O4T@cO<#|5H2Z*zMyfj=Sof|IA`KcKdjWg5w-SgS$^IZ1(DvrDA{DodRcKdjWUMJeU2x zisP<2&rTo5eqY6LSDoMNr(?H|j~##W-0_3g_x<~OV>R8I3soF<)jj{M$#fS-syObd z^A|VMvD@eKQyh2I`L}1&vERp29Cy|EcXrZktyOW{Rp;61^W1l*syObd^Ot(**zNN^ zvEy%^JAUx`zJGu3t)zQ@u8QNXy63ag=eg|nRUCKK`4869Z7)@E+*Rk<>GRynqg5Pt z)%nZY>DcY_J`~4Yb^h1$={}mM;<&5Mv(x9f?Dth1ch&h%meaA{$5R}4)%oA_)3Mvf zQyh2Id3O4^-z`*e+*Rj)Kbh_iBUK!C)p>UMJfHo(isP<2&rTo5eqY6LSDk04kNe|V z6&JhyL7o58V!A(1Rq@D9Z$z@A5U@IRp)ot z)BSC!isP<2|LJr(cKbY^;<&5Mv(v}1-&b+mRp+nHr(?H|r#SAa^X&9-?Dth%?D_|F zes4J)yL~*xb61Uzoql^g_WNpcx$7U)d3O4^YZj__?yB?GPNrkG&-+juch&jpHq(9f zTouP%b)KC*&%J)EisP<2fBjCn8`i2g?yB>jTTFN3R29cvb^fMax|_DDIPR+R?DX5~ zvENs5+*Rj$O4Dr9Q%D0$6a;) z)tPiZ?pJZ#Rp;61^W4`~t2pke^X&BTKbfrJxU0^y)5o*lS8?1`=h^AwUZ1VvxU0^y z)5rg8r;3YR|DevZ)5rgOv5M!eI=?xU?u}j*$6a-voj%WhbES&ot~&qLTsn69ybr~3 zSDnAOo9-9uRUCKK`L~zSy)#|KaaWyZr_bl!+OFba*FUK9zdWDr-T5k>yXyRdw;o#)`As`Kph+v~C4S8?1`=RY1x|M5;0$6a;)leKhL7OOb!s`I~@O847d6~|pQKKA?1 z{MX#?t6%?W?)TMp-|wGavD3#Lxbm43D(*o4GbdE%+3DkY3soF<)%jc6?+yOekt&Y6 z>O4Dr{B7;;Tg7o#oxgoH-NCUcj=Spo!JTx6+TS5lGJaokns?^;iH_fi$dU3H$FetSLk`znsR>U@7Y z{XOTaIPR+R_s*v~Hc`cKSDin$m+lK2RUCKK`TLgB-9J;saaWyxpr4N2e*1H=-^X!R zogZ6G_uxVm$6a-voj%WHzpvuBtIj{PnU38)p5nNx&a>0UvENs5+*Rklw3CkAKAz&Z ztIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy|E$HvpK+s9KJchz}z`Z)IcDvrDA z{HeB6!Li?0aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6LSDk04k7K{D z;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnSJAEAceHF)D zb)KC*j{UxhhuzNo zetW)N-|zcl?DTQ$_lIqc{XRZwp3hDn$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Y zt{TryAIE-w*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w z*yiG{8qZE2$9{j<=Hjjz&rTo5et+2J;;tIcP9Mj9f7s^Yt{TryAIE-w*yiG{8qZE2 z$9{j<=Hjjzf8^Wg*zMz^&Ba|co}E69{r<4c#a%U?oj#8J{;9o1HtH!g_$3MdNRkgXetHwXtzW3tT?+@Et+*RY*>Eph__f@sI zxU0rL*1q@RPVjwIZ7%Mr@$B?*kFR&yT-;UTCzjGZG2Ll%aaWB$IhyX|cBjq7T{Zrz z=hHno-)VDkSB+<<&(Htwd!05Hch&e)8|l8b+-Y-hSB;;XN%vI0)8^u?8qZFj&;Rsl zr_IG(HU61}bnNzdpJ;P&SB+<)N`jequBy60v)Z7%Mr@$B?@{?tyV&Ba|c z{`s|ZFD!Q2T-;UTUz|#Jrq^k6aaWCJr_bmA#!9En#a%U?oj(5Tc&E+9T{ZsfZo299 zPMeFnYWzz}>Ap4HX>)N`jemJG-OJmZHWzo*cy{{y{QoiEX>)N`jelh#-79;YHWzo* zcy{_ce`dMU=HjmI?_xRUCKK`K#mUuI^TG+*RlQv7V0IKA(f)xU0^y)5o#jS8?1`=l``GE_VCv z&qZUt+SDn9O zI^B`cDvrDA{E_W+cb>1}xU0?|olnPpzx}z`@8h_u&fm3{?(U5$j=Spo=a&RdL)^=O36&H#Szq zaaWxm+e!D}S{27#b^eQs=^mP@;<&5MKio_A@KzPaU3H$FetSLk`znsR>iqHX^v8Fr zIPR+R0! zx$O5<9Cy`ucKW!f-71c|>iqNT>0Vf>;<&5Mzc`)l%xD$IU3LDipTgbnN!=6vth4{-xz~?Dp{#$6a-voj#8JzKY|nI{)3tbnN!=6vth4 zo}E7KKhITh+*RklH=B;#KJPUMJeU2xisP<2f1#I--9Db; zxU0_pa3$T3=BhaEs`Iaor(?Iz^C^zI>O4Dr+-pl!9Cy`ucKUes`znsR>O4Dr9Q%D0 z$6a;)r}ODvpQz%vtIo62=eg|nRUCKKd3O3Z_WLT1yXyRAKOMV$JjHQWoquCB-J1(l z9Cy|EwZ#as`Hn6>DcY_J`~4Yb^g7Tbnnkqaokns+3E9K_WLT1yXyQ0>*=-lsaO;mB*Rp&q2OUHhn=TjVa)%j1B)3MvfQyh2I z`QP-@vD?Q}9Cy`ucKW#AEmU#bRp);{neGoGRUCKKd3O3dpZ&gyinnE>DcY_e2U|)I?qlY$9`YMaaWzcI-ic+KAz&ZtIo62$Fbj6 zaokns_m9^NozppkIchz}z`nYQrsyObd^Vd$MW4F)yP#kyF`Rg{* zefC@x$6a-PWHuf9eV$Ko+*RkV-${4FS{27#b^ddU>292=;<&5M-_%QY(^eJ7U3H$F zetSLk`znsR>U?iJeQ&plamDnIGnUU;X<3miv9R-S_+Vzp>NDUD#{?_rHVUF7SBP)&H_p3PWs`KphdG2egRUCKKd3O5vpG;P9+*Rk<>Eqe&t2pke^X&9-ug_L- z+*Rk<>EnO4Q^j#tooAO4Drp8w`b6~|q5{;j!m?Dlyd zisP<2e{nb6FV?F#?yB=|FQt2Dx{BkjI?qm@&%L!>#c@}i|K<5~@6K0o+*RiO4Dr{B7;;Tg7o#oxgoH-NCUcj=Spo!JTx6+TSlYHRdL)^=h^AE*JHo0;<&5M_qWsE zbH0k>t~!73e7a*3RUCKK`D1(OzOYfnaaWyZr{7+``Tm(Ij=Spo1O0UD_jwO4Drp38n;#c@}ie`qruyL~*xaaWyZr;lU5uj06?&VOkq9lL!z#c@}i zXQz*2zpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`HPHr(?H|r#SAa^X&9-?Dth1ch&h* zZNGwJzpvuBtIo62$Fbj6aokns+3Dlh@2fcOs`KphaqRb19Cy`ucKSH>`znsR>O4Dr z9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb% zt2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6L zSDk04k7K{D;<&5Mv(v}1-&b+mRp;61UMIQIK0j=SnS zJAEAceHF)Db)KC*j{UxhOA{= z9Q%D0$6a-voj#8JzKY|nI?qlY$9`YMaaWyZr;lU5uj06?&a>0UvENs5+*Rk<>Eqb% zt2pke^X&9-?Dth1chz}z`Z)IcDvrDAJUe|H`+XJ1U3H$FK92pqisP<2&rTo5eqY6L zSDk04k7K{D;<&5Mv(v}1-&b+mRp;61RUCKK`Tlp(vD?Q}9Cy`ucKSH>`znsR>O4Dr9Q%D0$6a-v zoj#8JzKY|nI?qlY$9`YMaaWx`-oE$Zj_*`)+*Rk<>Ej>a`|6-L?yB>Tw(q?-_WLT1 zyXrhUecV_0zB(w5yXyR7bLmd-eYJUtb^h6N>7JXd;<&5Mv(xAKQ#(~0ch&jl z*V4VPSjBNyoqusE-I-n$$6a-voj#xc8!J^Dchz}z`uMZsRUCKK`Lny}rq`=D?yB=I zEv5U`bQQ;4b^hhibT4mLaokns+3B~}W52KBxU0^;GLin3y(*5o>O4Dro;$N##c@}S zkNy5Lcjtb8*pG9+|BQO~zTdxMr;lU5KWuaC_wiBVKW)FaIClHPHWzo*cy{`@zt45r zT-;UTueRS?{MFq~n~S?@{6E&yvD@c;qRqu!HJ+V5j{W|y&Ba|c{$JbSVz=LXwz;^g z`}^4G)P)vj@|yS&Ba|cex#pn zGQeS?+@Et+*RXm+)T%AA0KTl?yB(zX4Bm~)@gHb zSB<}UCtYu?)8^u?8h^`Tx?86@Z7%Mr@$B^Zxo_L*w7Iye#^1h@?%-Uf&Ba|c{?K^3 zL%W?e7kAb8!|UlrmpW}O?yB*3Os6|C+G%rfSB*cio$k)_oi-PD)%c_H>Dce{_YiF^ z?yB*3?WMbWqtoW%t{VUO<#hd-PMeFnYCJoAKKDIWI&CiQs`2-(raQLKX>)N`jc2FN z^Y0t!w7Iye#^1M@?*4O~HWzo*_y=awjg58MT-;UT$9B>^xYlWNaaWE1;$pgoraEmd z?yB(*_tHJQ)oF8aSB+<<&wu`3n(MT=xU0q=A5V9Dx6|h0t{OkSp6-#QPMeFnYW$ApPLX>)N`jc2FN&;OP4oi-PD)p&OL_!ASIHWzo*_!E2S9^dG+xwxywPb{ZRT{ZrxopkK>@zLhut{VT$VmfyF_-J!+SB*d2OLuy!)8^u?8qZFj=d#}) zwz;^g#Eqb%58GVaRpWm;pYHXEPMeFnYCJoAp38oJ*yiG{8qZE2$9{j<=Hjjz zzu8a6ZXX|QF7B%FZ>*+!bD`7b;;tJ1)?~ViBb_!Ech&fdo9Wo?^ZBFA#a%W2?b&qi zjCI;v+*RY>*-5vx)@gHbSB+<<&*y%3s?+A;t{Q)-myX>&?-OkGOQ{`@=RDchz`y`Z)Ic!!{Rp)p&OLxIeCS+FaaK8=~; zw7Iye#$UIY?z87QZ7%Mr@$B^Z{OtCJZ7%Mr@z?L9yJ4-<=Hjjz|GC9yaaWCJr;odR zwA1F|t{TryAJ2Y&*yiG{8qZE2cX*=H=HjmI?_ND zUD#{?{#kAALi;@UsPXLdaqRbpZ7%Mr@vqLL`*FY1=Hjjz&rYA`zP8$Fb8%OVXQz+< z$z-R^#a%U?oj#uZ{;T)N` zjc2FN&;LQc)8^u?8vntSbla<)HWzo*_+KrgyFA%xb8%OV|8OMThnt-?7kAZocKZDM zAI)~!T-;UT+3Djy-s!ZtxU0s0vX<`3VyDf;T{WJaKF|McuhZt@uI}$+zd!kM?)TNF z?#%tZ+V1=P_s7`j;|{dn0~L3m{XVJAv(v}*+V6pi{o&KKl zRUCKK`FrQn9h<1)xU0?|+e`O_jVg}2>O4FB_Im91RUCKK`3L&x+3n+F$KO16{NVL{ z|M$n(YPts(syObddpZXX{z{^q&k2e0q@e0KUc_WLT1yXv0LP9Mj9U&V1(ooA0UvENs5+*Rk<>Eqb%t2pke^X&9- z?Dth1chz}z`Z)IcDlT^YgF4SnAIE-Q#dBAkXQz*2zpvtA*FUK9?DTQ$_fUM zIQIK0j=SnSJAEAceH9nG{z08*r;lU5ui|6ZKdAHU^l|L>RebFF2X&sEK92pqis!C6 z&rTo5eqY6LSDk04k7K{D;$qi7sPpXfaqRb1eC+xMb)KC*j{Uxhk6r(u&a>0UvENtm z+*Rk<>Eqb%tGL+p59&NSeH{CJ6(76)L7iu(k7K{D;<>BNv(v}1-&b+5>mSs4cKSH> z`zoHh>O4Dr9Q%D07rXvJooAjf42WzROi|0x7TC8uj06?#>Y+{$9`XJF7B%H?DTQ$_f;Hs)p>UM zIQIK0j=SnSJAEAceHF)Db)KC*j{UxhRUCKKd3O3Z_WLT1yXrhUeH{CJ6~|q5o}E69{l1Fh zt~$?7AIE-Q#c@}iXQz*2zpvuBtIi+!b~<+Zc#7k$I?qlY$9`YMaaWyZr;lU5uj06? z&a>0UvENs5+*RlM-$}=AA5U@IRp;61UMIQIK0j=SnS zJAEAceHF)Db^bWtdz(MLQ^j#tooAO4Dr+~ez29Cy|EiKTQ;OjmK-Rp(ERraQS^#c@}i|LXa4 zPtI3y+*Rk<>9^NozpvuBtInU=NdL9vDvrDA{Nzl!r}|YKchz}z`h4!ESF1Sgs`Jk* zq+_?w`%oNr)p>UMxYL_e9Cy|EXV0a3Znlc!t~$?7pXX2QRB_x@=bv9o_rhWo$6a;) z#i?{>dQ}{E)p>UMeEx5&RB_x@=h^Aw&yH7d+*Rk#?xvewuj06?&cC#j?pxDU9Cy|E zmq*jRyj{g{SDk04-(HXXzKY|nI{(T<`d9Xy6~|q5 z{vYe<*zNNrj-~L<_$6Yl(_W3yW`)YG>SDn9RFWt2p zRUCKK`RkU`vES$U6vth4ex#png5woxgb}U2m<5Z#as`Kph+v~C4S8?1`=Wkz0 ze{imfipsLbfZgE9Cy|EJEqee8Li^DtIi+UPIu?|DvrDA{L%Sz z?DpHAi~T;1yXyR1d+F}psN%S*&VPP6U4N#Eq!LRUCKK`LUgJ53W^l+*RklxR~ytsVa`U z>iomKbPsP;aokns+3B~}W52KBxU0?|A5VXLw~FJgIzPUi?vbS`j=SpoqtofWJX*zZ zSDk04-(HXXzKY|nI?qlYcVeQ74)%l6#bWhAwaoknsPxjNX+vn$? zIPR+R?DTO@E>v;cRpDvrDA{He`!UprUDaaWz6oK44WpPz%`xU0@TwUdtB zKAz&ZtIj{On2y~(p5nNx&Y$k3JH1uKaaWyZr_XcQ@2fcOs`KphaZ|fh9Cy|E=hxG{ zuvEoySDk-xI^CJkDvrDA{F&`^?DqLND2}`8{5R**vD?Q}9Cy|EvwP{-?c*tqyXyQ) z%jwwd<0+22>O4Dr9Q%D0$6a;)yOZhI?c*tqyXrhUeH{CJ6~|q5{(H0O*zMyfj=Spo z_jl6$V6BSdt~$?7pXajQS8?1`=P&fqvD?Q}9Cy|EAFibP(OebBU3LD|@pSC=c|OH) zSDpXydb-z^syObd^X&9_F8h5I$6a;)C)?@R@8cioscbnN!| z{1nGsb^h(ybnlE+aokns-`PpGwN}M(SDk04&*y%3s*2;TI)AB`j@>@*Lvh?y=iggN z_x@ZJ$6a-voj%WHzpvuBtImJ0o^E@oisP<2&rYA`ULLLDxU0@z-cHAUpZB3S?yB>@ zo=^ADL>0$fb)KC*&t<=_;<&5Mf3lp8-9Db;xU0_prk{@8KAz&ZtIo62$Ng@hisP<2 z|NF^we;BFaxU0^y)93l@_f;Hs)p>UMIQIK0j=SnSJAK?A*Qz+~s`GzZO!w!hDvrDA z{9k(M*zNNiq6{y1y+|aoknsKb=nZKSrxK z?yB?b^!eQE_f;Hs)%mOQ>DcY#DUQ49JUe|H`+XJ1U3GqMIUT!wJjHQWjgNhPdp-91 zYIAW{ooAo_|MPA4(V5q0e&2ln3(Ug}3?PO9izg!tWdw#n4C6rWE2ATv;8z-DaY zb7Y&dD^u{w{l2g3{`{`{AASCMpM1n??wJFPGyUEd?xeXYj$L*BzHSDoihpU>rfU&XPj&Y!xG?zH79j$L*BZS(0)pRD58Rp-y>r8{G-iep!u z=T5)i3?5gwJ>GQddEmd*ss`K3GCqKaczoquU4 z-Ak)g9J}f~clzz;alfzP*j4Ab)5m>(ql#l!oquIH-HG`sj$L)0JAFR?hrKF}T{S-L z_xFD_@AuW)KArdbYTLK_->KCU-c#j&f-pWXhu!Jji! z#j&f-bEl6#xBdH8aqOz|JEqf}H(JH9tInUdk?#EV@0-u3ICjx=R+SICj-}?)2Nw<9=Vov8&FH ztf#;9NEOGfI)B-0y35C_ICjiktB>ABm-QyjbM z{OD4;tLLgXcGY?A^!Z%w_f;Ib>ijjU>A2g+QyjbMJa_uI>qe_McGdaoHqvpo&#yyq z?5gwJ>Eo`Stm4>J=eg6zbHA_R*j4Ab)5meYuj1HM=WiNI$K5`j;@DN^xzopSzpvuh zRpEpQHS8?3$tM2o;)5meYuj1mazhCFM z)5meYuj1pbzhCD^9!kgEK0faFn`7tK>HmD&KRK z_xmc2U3H#2ecX-weYIa4yXyQ+GwJs5_toYpj$L)0JAK^ED^(o3>iqaZx?85IICj-_$s>2F)C;@MT_Cl02&eWZ$G zSDoihpWpX;mZ~^*)%iQ-(s8%XuS0R{s`K3GO6P)_BJzs^4}p8kQYDxO_+ zo;!U$_uyg`$F3S5_xrc~BJcOriLd4TzS{Qf{`Y^BJAEAY`znrIb^dk!yKSEPeHF*9 zI?tUx?v0r$j$L*B&9QWEZdP&Zs`I~CNyptjzYmIISDoihAIJT^iep!u|K)nPxZ7|4 zTolKy8XtH1IPUk==3-Z!KWQu7$w#X=cGdY)7SnOJ&*xJdyXySVNV=gDRUEtO{HaUn zPMfRZ*j4Ab)93fi{l1E0SDin7H63^Rc#30Joj-Fr-C3hm9J}iLSsUqk%T*k^>ipUB z>CTy~;@DN^xzleykNbTU$F4fR<8b=(W~w-L)%o+s(w)Cq#j&f-U$ByHc%h18SDn9b zD&5ZEDvn)se&>3+i;h%r?5gv-X47%M-~PF{-^a15&R@Kh?vkTb9J}iL+ZWS~9IWEl zRp+_W=l6Z-i7Jj=b^fxYbeGRnaqOz|-0AcAR}NKi?5guuuBLm(p(>7Db^fa9bfcqH z9J}iL=tjD$m#a8-)%kbMr@Lmdiep!uzqXg|+O;Z2$Y`R&ngA^S5uL<8GhNr#N=i`8(#*akr1BICjPRp+_W z=X1H=S8?pB^W5pim6+>A2g+QyjbMJa_sy?)Oz3yXyQGCem@YkEb|x z)%pLlnvVN@JjJoA&VOk-9e4Y9iep!u|MEtGQeV@2fa=)%k~e>A2g+ zQyjbM{8taBdt|1HV^^JjbSxcr`+Ppdv8&E=r;mGVp^9Tyo###;&;7oNV^^K$P9MkJ zzKUa4o&Uycy2r<>ICj-}?)3Rw?)Oz3yXri5`Z(_QRUEtO{OU+L?)LE%$F4g6#8SE^ z=c+h%)%m9;(j6PB;@DN^kFBQTZlB*j#j&f-KRuo9nb9haU3LDMjdW|vRUEtOJa_v1 zzMq|};@DN^kN47Xx6iLbaqOz|&mB(p{7ev9O6P)IPUjV z9J}f~clx-WELU;ts`EdcPxrIQDvn)s{3+UY#j&f-zdn_Y`+Yv2;@DN^xzopSzpvuhRp;NFO~>6np5oY5=eg6z zalfzP*j4AZ7SnOJkEb|x)%dv6Z$FRweYLsRRp+_W$DK4+#j&f-pFEL{yM2Bgiep!u zKV>!DTMt!n?5gwJ>GQeV@2fa=)%jC5(w(+k#j&f-zimF<>629)yXyQIy>w@+RdMX9 z^W5pTpU3^aiep!u?~SGJZB}vYs`F>Bq~mU%&!;$c)p_ppaXW^qICj-}?)358@2fa= z)p_ppaTknNaqOz`ale1txp}|e^?2Uz-=?0s?fY-s>Ej;WYM-w*_b|U7)p+joaoq2B zZ7z1z_(u<>``XArn~PmFo;!U$_pzmcHW#~UJa_u|uTKoLx!6_XxzopUzu&dF*j3}X z)5kqNJ<#T2SB>XRAOFpbfi@SrYCL!P_;1Y*w7J+-<5wrsJ<%IzbFr(&bEnVeKY4hd z&Bd-7|I|!6?)Le0qRqvw8h>mv-M3c;+Fb0a@lP+LduD2&&Bd-7&z(NM@3r-THW#~U z{CAF|dv^cP`zF69a87cGdWohSI&XI?(1~SB>XRpFjWirU%+w?5gqH>Epk@G0^5> zSB-yVIo*l*fi@SrYCL!PeEtu618pvLb$cK8`|o~N-tTw)|MGtS-Rirx{ok*+)5o3J z{yXT}+?nmaCw$a+?(}iJ_TNF*=3-ZkKfC>RgFC1FchI%D*j3}X)5o3L{yXT}T!x{GE8+Fb0a@w>*-?b;k@bFr(&U%Zm;l7)db7rScw+o#fv3=g!q*j3|4*3(^j zWT4H(t{Q*YY`V+G2ijchs_~a^rMu$jK%0wQHJ&?t{{6q>;6R&;T{Zryk#yYc^Xo*L zi(NH-bSd4{a|3NIcGY<9^!Z%w_q#S1yK4M3tLeDg$48rsT{WINeH{1uU7L$tHU7Gd zblmOZqs_&x8qb|Rj{E(t&Bd-7&z(Mw`~9xX#jYC9oj#8H{jSZ$t{Q*SSUT?Z@zLgD zSB>XRAIJTE*XCkZjo;tyS8&|#cWo|q)p+joaoq2BZ7z1zc<%IZ-0yd7E_T&;?(}io z?{{r3cGY<9^l{wpcWo|q)p+joaoq2BZ7z1zc<%IZ-0yd7E_T&;?(}io?{{r3cGY<9 z^l{wpcWo|q)p+joaoq2BZ7z1zc<%IZ-0yd7E_T&;?(}io?{{r3cGY<9^l{wpcWo|q z)p+joaoq2BZ7z1zc<%IZ-0yd7E_T&;?(}io?{{r3cGY<9^l{wpcWo|q)p+joaoq2B zZ7z1zc<%IZ-0yd7E_T&;?(}io?{{r3cGY<9^l{wpcWo|q)p+joaoq2BZ7z1zc<%IZ z-0yd7E_T&;?(}io?{{r3cGY<9^l{wpcWo|q)p+joaoq2BZ7z0odms1v?_SIMeRcog zyx&*bzTN#u-09=E-&b+m@2k#pr{8`a_xmc2T{S-L^l{wptIfr(I?tUxj{AKT$F4fh zoj#8HeHF*9I?tUxj{AKT$F4fhoj#8HeHF*9I?tUxj{AKT$F4fhoj#8HeHF*9I?tUx zj{AKT$F4fhoj#8HeHF*9I?tUxj{AKT$F4fhoj#8HeHF*9I?tUxj{AKT$F4fhoj#8H zeHF*9I?tUxj{AKT$F4fhoj#8HeHF*9I=}P5blmOZDUMxro;!UU_xmc2U3H#2eH{1u zDvn)so;!UU_xmc2U3Grsp>*8s<0+0^b)GwY9QXSwj$L)0JAEAY`znrIb)GwY9QXSw zj$L)0JAEAY`znrIb$&O0?`?iJe~;}K$F4fhoj&e*{vO*ej$L*B2L9gL9QXSwj$L)0 zJAK@Z{5`f`9J}iLO*85C@b}o}DUMxro;!Wq%_~(LyXyS-Lb_X~syKGl`MtyG_O4fP z?5gv(9!a-vwu)m{o##%!{XFjXRUEtO{QjfqZ(FS5*j48z4yL<(q>5u#o##%U-}if# zsyKGl`8(#)aktN}Lvie?^W5pcPQQar>i)2)p_pp`TWU^Dvn)s{;uV8 zch6UG?5gwkOr|@~tK!&I=eg78_y2*zRUEtOJa_u|d&jCccGdZNH`7h6RB`O8^Y<;J z`_NPs$F4fhoj$++``4>DcGY?A^zk2=t>V~K=N}kP_rO*a$F4fhoj#v`aIuPGSB;PR z{r$h0_xtK?d-8r?ZTohA{~F~^AIJT^iep!uf4!IP^|dOFU3H#2eLnY%nJSK5b^gt< zbZ>4}aqOz|zgS7f-9En$iep!u=T0BT{l1E0SDpXmdbqgTZ~t80@i)(o@Be(ee}8eO zkK=w{Z7%Qn`!$~*clx-KkEW;ileVhPpR$;ayM2D0xZ`i0onNQ_^KF0sLnG;iPE>L1 zs{8y?m(ra!SH-cb&U2^F@0>sK4yQYBriy1*oj-pp-T9kU z9J}iL1uN->7pgdR)%gpj((N3s;@DN^cdn${KZ@8 zE;(Aov8&F%eKFn0!77ejb)GwYe&3g#sN&dF=Pz4Icllfu$F4fhoj#v`~oqy+ix@#t@ICjii8;>E1P5#j&f-bEn^a9{2kyj$L)0 zJAK@q@hXm8b$-uQx|@$yaqOz|2%!f^XtSNfAj44{?E7l_xI(EbYEGn;@DO9`P}LAx!muoICj*=`P z$5R};>ijom(>*?3#j&f-bEnVee)DJ*$F4fhoj#uXeHF*9I=?!Sj=Oz)-0?Thj_?0` z+yDNbSW5TgTouQzy3c=VBHgi}Dvn)s{@7|d?)LfpQyjbM{L|CvxZlT99J}iLGaKpF zma8~+)p_pp`P^qGt2lPm`QyEG-0k!0#2tV0?D+oAxBd6`+~IW3&s1^js{4HI^!Z%w z_f;Ib>ii2U>DCvjICj-}?)3TG7l*4jcGdY8*VA#g&#yyq?5guG&!+p{cooO4I?tUx zpUeHeiep!ue`PTpcl&sXV^^L3!ALsp_VEGQeV@2j}D>+je3t;KY|JXpoEtH#Hje*1ac@2kz_U4OsM zbEl6xX|9TASDin3A{}@8{5llJt~!6pYPz=`s^ZvH=eg78bGhGFaqOz|r*5P>ZMlkL zSDk;`e7e&ot2lPm`7?Uy&RDDB*j4Ab({DeI`+XJ1t~%cvOW)h9;@DN^&t6H#-9DdB zaqOz|-09_xtMpd-8r?ZToir z@3*+q$348&-k-0yXri5`h4zVOH~}Z>O6P) z_^(e?aqOz|-09=F-&b+$s`K3G;~t-`;@DN^xzopgbEAr5SDoihAOEfSDvn)seswb4 z6TK>qU3H#2eLnxm!&Mx+>iknP>A2hH*P%Fe)%jza>At;E#j&f-KfRFdnW-v{U3H#2 zeSY6->s1`P>il<(q^`$C~U3LDubLn23sN&dF=U*C1_tI(=$F4fhoqqdy-0!P6cGdaskEZ|rMis}d zI{(UYx)bwN9J}iL4<^(7uvf*gtH#Iu{;hwK_xtL;kLUfq+V<`K_bcx6ac7=*%N`YX z=Ez(2sLpezkL%4O6P) zIPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ) z_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV z9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib z>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~ zcltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;IbYJA-9@0-l~ef8bFyx&*bzTMxy;!YpO z{l1F(^Y-Ugo##%!{XFjXRb1Tl_iKFI>EpQHSDVkf{(hb3P9MkpzKV~#{(hb3P9Mkp zzKUm8o###;$Nj#FV^^K$P9MkpzKUa4o###;$Nj#Fi@W}Qo###;$Nj#FXIGu)P9Mkp zzKV;x{(hb3P9MkpzKUm8o###;$Nj#Fi@W}Qo###;$Nj#FXIGu)P9MkpzKV;x{(hb3 zP9MkpzKUm8o###;$Nj#Fi@W}Qo###;$Nj#FkGuYUo!|LjI_~!IamU{rJHJl<=iB|? zKXIpz<9=Voalfy+&*x4b$Nj#Fi@W}Qo###;$Nj#FkGuYUogaB99e4ZqxZ`h*onNQ_ z^KJk9-09=E-&b+$s{4HI^l{wptGKx9@7HQRXn@uJa_u|>-qa?zc_Z)`5W5bdvV6vdQ}{| z>O6P){Qf_1xQb&}o###;fA3fo7kB;rI)CqGx~Y{ao?UhRzJ+ujnyTX1Rp;*?PIv!$ z700eR&z*kzdED=-xVY=@*ZBv=(?76P#j~ret+NpoA>+byZ>$8 z@2hR!?tlN|P9MkpzKY|1Uv>U<{=04d^|dOFU3H#2ef%3URUEtO{F`Ix-rTI>*j49$ zv67CveSRMl$F4fhoj#8HeHF*9I{(Y{aB;Wa{<$cQT{S-L^l{wptIfr(I)Bnux|5Gq zaqOz|r!1!9ZlBMmICjio|2bQc||;@DN^cg?2b ze!u;5alemaSDn9jE8QhWt2lPm`L{2o8#!3Tv8&E=r_b;E(i2r2yXyR9OX)73tK!&I z=eg78^RFDL;@DN^uUt*{jzd)(yXyQ^)9FS>t2lPm`O%GZS1(s_?5gwcoKJVnWEID* zI)801-L-2~9J}f~clzz;alfzP*j49ukEP$eS;eud&X296yMCdHV^^KOVJh9bhO0Ps z)p_pp+t1^EU&XPj&U2@a+cRFpv8&GS*-Cfw(JGEzb$)y?-7N>JICj) z$5R};>iiw^>A2g+QyjbM{GGjYcdk`&?5gwJ>GQeV@2fa=)p_ppag&=>9J}iLT`TGC zUZ~>ORp;-SN_Swmiep!uKd_#TyM6u~6vwVQ|H0XG-0kBjj$L*B-mP@p?c*tqU3LDx z#dO^5<0+0^b)GwY9QXSwj$L*B3lr(M+s9KJyXri5`nWG1s^ZvH=f5iky^r+Z|kiep!ue{?Jzcl&%k z#j&f-bEl7cY@v!{SDoihAJ6^1iep!u=T0BT-M)%rSDpXHY`VwCt2lPmdG7T2T<-T( z9J}f~cltQ)_f;Ib>ip_RI_~!I6vwVQ|HM+dC+Dg-cGdZ(Cej@ns^ZvH=Z~$X<8Ghd zKgF@D&Obe!?wQdlj$L*BnT>R7%T*k^>O6P){Jx)^tm4>J=a2W&aktN}Lvie?^Uobl z_xwy1$F4fhoj#w-{l1E0SDk-hCEfZ$700eR&z(M>`{HmF$F4g6;(9vn_W5-vj$L*B z<=J%K8?WNnRp+_W=X1H=S8?pB^RFzX<8B{MaqOz|KNv~J-9Db;*j4Ab)5ra2u8Lz< zoqu&A-H(T=ICj-}?)3S5?)Oz3yXri5`Z(_QRUEtOJa_uIpDb5#?5guWolp0($tsRr zb^f(pI_~!QeNY^`>O6P)IPUjV9J}iLpN*yCZXZu^?5gvdE9ri|P{pyU&c8mDj=Oz6 zpW@h6=eg6zalfzP*j4A>oK465KAz&(Rp+_W$8o=};@DN^w-(cJzmKOlcGdW}({DeI z`+c>!*j4Ab)5o1OSH-cb&YwJyj=Oz+9g1UDoj+wY-CGY;aqOz|-0AbV-0!P6cGdY) zH`1N9T*a}g&cAIw-RYB69J}iL8NGC8tW|OBs`K3Gx1Y!TzKUa4o$rmM?`>9b?5gu; zucYH{pU|et2lPmdG7S_-0!P6cGY?A^l=xAS8?pB@o~R@>(BCjU)}eg z@_t`!`*!cYai@=ac&mN?w_n`D{C@g%o;!UU_xmc2U3LD^gXz9DQpK^W&U2^F=RUSn z#j&f-bEl90`a~7St~$@1KA!u1700eR&z(N*@#!j#U3H#2ef&2!syKGldG7S_-`+L=MxBcI*xYNg- zdEza5x;A&_Nc%sl#&f5S>&*?cx!6_X&u;(S;LjNvXmhcv#&f5SKezq+?%G`Js_{Ff z)15au(B@)SjX$sbcf;qN-~N4fZ7z1z_zT*9H#qM0yEYfQYCL!PxC_??+Fb0a@jDNv zyJ%*h&Bd-7ziTYruFZiq7rScw#VhG9Sr}+@v8%>&r_Y~%WO$&>#jYAZvYzhJBLi(N zcGdXHX473hKG5c3SB<}XE8P`G2ijchs`1?E^XGrZ!GSgxyK4MZBk8!?=hulg7rScw z=u*0?=LXtb?5gqH>GQeV?{{r3cGdW6R?~5}kB>GNyJ|dl`Z(_QyEYfQYW#H@>A2g+ zN1KaXHJ&?t9QXTOn~PmFo;!UU_xoL&i(NIIJAEAY`(2xhT{Zruv2@(+Rt{Tss zK92kSuFb`+8o$5Yui&`f@7i4Ks`1?EEpQH@7i4Ks`1?EEpQH z@7i4Ks`1?EEpQH@7i4Ks`1?E zEpQH@7i4Ks`1?EEpQH@7i4Ks`1?EEpQH@7i4Ks`1?EGrNyaqOz| zw;oBiZ?=kKSDoihzx_P!_f;Ib>iqtr>2F)C;@DN^Cl02&eWZ$GSDnB8M7sAZRdMX9 z^LNap<9@&Wb8)|qV^^K$P9JyYY8A(>I{&^y>E1tG#j&f-bEnVePi|Ck?5gv3EvLJC zzKUa4oxf)?-GN>e$F4fhoj$++4;-%I*j4Ab)5qUCR>iTa&fmM4Zfd29V^^KOZz0`> zrm8r0)p_pp`TgI&Ud6Gi&U2@a|Hy0=$F4g6z<9a`wyHRG)p_pp`TT>6RUEr&eBAHf z`oX;4SNENt_xozwxBL57-09=E-&b+m@2k$g-b?rTS{28xI?tUxpZms4700eR|K?b_ zH#e&|cGdY`tfb>^pWg??v8&E=r;p=)U&XPj&i`^fT-@!qe=hI%n`g)Of4<$nzqr%K zalfxNmv{aBn$M3recZ`M(^LFOTUF;zSxm>>KEF=f@i))Tuhakew!i5u#o!>Q^j{E)g&&B;dj$L*B;;nR- z9IfKmRp;Nnm~P}?700eR&z(NM?@LcqaqOz|mo25ce6EUPSDoihpU=N?sEUib{(ham zay8vM4ps5&s`FP(ryCuu;@DN^M>o=4y9?Q9{l1E0SDoKImVWnU700eRKem$Y`h_ZvU3LD3sdVoeuHx8L=eg5wKacx;700eR z&z(MQ&v+Hbt~$SGE8WdUt2lPm`SHbcw;ZhE*j4BEj-=ympFc<3@i)(o@Be(;|NZ1n zAGdF=iep#Z=f8U*-Tt8}j$L(r|7tq!_W6BK9J}iL#B@6D_VIDY-#k0M|MPAC{I_qU z<8B{MaqO!5{5$5;akr1BICjPRp+_W=X1H=S8?pB^W5p#nY&!1t@f63dy3fCN zD;; z6HDoyoU7v4RrmQ%O{6BY&L3M%$K5`^e~M#Qoqu{d9rycqiep!ue`X`y+Hw`g zt~$@1KA-#SWEID*I)A*Ej=Oz+ow(y~o*m!+`L_T5o;#fG`I#z?U3H(&oj#w-{l1E0 zSDk-hCEfZ$700eR&z(M>`{HmF$F4g6;(9vn_W5-vj$L*B<=J%K8?WNnRp+_W=X1H= zS8?pB^RFzX<8B{MaqOz|KNv~J-9Db;*j4Ab)5ra2u8LzinOLrQ>cNPjT$3^P4N_e!ft}v8&F%K9!EUeLkP!*j4Ab)5meYuj1HM z=ii)7_lxl=j$L)0JAFQv`+XG`cm4f3zqOd|mj|nOcGdW}({DeI`+c>!yzB4RdG7Ra zC(Tvy?5gu8Po(2+pI?XK*j49GSxxuWLscBR>O6P)d@lF;p5yJ~#g@9+J!yx&)Ey(aJX)wXZ<|9*=* zecZ!a?fto5+{65S`gNW=eH{1uDvn)s{?UW!zBW?Dv8&E=r_bj;wp7KjtIl($kN^5a z700eR&z(M=`+XJ1t~$@1KJM}9Dvn)so;!W~H#e%dxa;rNdG7S_-BJzs`T>NV;cdt9W+R`Qzj1j&D_Q?5gw69ZmQAVim`(I?tVc`+3~&t2lPm z`4>*4Utg-?*j4AhJD2Xoi7Jj=b^fKHbT6$|aqOz|-08QU$Nj#FV^^L3{%HE|Z&Y#Y zs`IZbr#mrU#j&f-|6nrR4|`P{yJ~#g?~ngs-tVio+>`hFYTLK_->KCU-c#j&f-pWXhu!Jji!#j&f-bEl6#xBdH8aqOz|JEqf}H(JH9tInUd zk?#EV@0-u3ICj?5gvZ&8EA2yozI2oxgl5-4#cx zICj-}?)2Nw<9=Vov8&EsHIkmYeLTgntIm%urMr5riep!u=T4u`<$hnqv8&Esvzm^( zeLTgntIl($kK=w{#j&f-U$>EtyL~*xv8&E=r;p=)U&XPj&U2@a<9=Vov8&E=r;p=) zU&XPj&fheaj=Oz4#j&f-bEl8veqY70tIqFlcPcpU_f;Ib>O6P)IPUjV9J}f~cltQ) z_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV z9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib z>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~ zcltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J}f~cltQ)_f;Ib>O6P) zIPUjV9J}f~cltQ)_f;Ib>O6P)IPUjV9J^|K-0$Bqn)mx%H}Zb}7Ik#nzkkJ@K92kS zuFd^9e}2_??)00B`~9xX#jbAe<4zyP{eIVtyx(u1ub*%C_s6)?$8o>kwK?wh@lo^n z-09=E-|yO7?5gqH>EpQH@7i4Ks`1?EEpQH@7i4Ks`1?EEpQH z@7i4Ks`1?EEpQH@7i4Ks`1?E9)G~I2B18pvL)%b~n>24nxXmhcv#&f67@BckZ18pvL)%ZK+(s94fuM=%9cGY<9 z^l^8t4z#)0RpZ}xDBb&~2ijchs`1?E^ZAn-18pvL)%d%X)7?Ek(B@)SjlX9y-GSaf zn~PmFo;!Vh{~tI!(B@)Sjpt4ufA82pn~PmF{@%@WQ!4{)E_T)U`xerDXlkI%#jYBE z|8Tnd*9Y2M?5gqH>GS9R$m~Fyi(NJTf$?+?Yz?%z*j3}X)93RKE)KN0*wyWQ-0$D= z<-Ffl_x_{2-&fnd-QQp0P9MkpzKY|1Uv>WVUOMjg@f63dI?tUx?v0r$j$L*B&9QWE zZdP&Zs`I~CNyptjzYmIISDoihAIJT^iep!u|K)nPxZ7|4TolKy8XtH1IPUk==3-Z! zKWQu7$w#X=cGdY)7SnOJ&*xJdyXySVNV=gDRUEtO{HaUnPMfRZ*j4Ab)93fi{l1E0 zSDin7H63^Rc#30Joj-Fr-C3hm9J}iLSsUqk%T*k^>ipUB>CTy~;@DN^xzleykNbTU z$F4fR<8b=(W~w-L)%o+s(w)Cq#j&f-U$ByHc%h18SDn9bD&5ZEDvn)se&>3+i;h%r z?5gv-X47%M-~PF{-^a15&R@Kh?vkTb9J}iL+ZWS~9IWElRp+_W=l6Z-i7Jj=b^fxY zbeGRnaqOz|-0AcAR}NKi?5guuuBLm(p(>7Db^fa9bfcqH9J}iL=tjD$m#a8-)%kbM zr@Lmdiep!uzqXg|+O;ZBY&hKAM$K5`^4#lyn&QDCI<8B{M zaqOz|w{N85ZXZu^?5gv3%%|gSA5U@Ys`GdD(%rdM#j&f-bEnVea=)+Q*j4Ab)5lG2 zR&ngA^LMSJyL+LEV^^KOXDZ!+;VO<@b^gG5I_~!Qb5I<+>ih?1({ZEpQHS8?pB^WT_F_xN}f z$F4fhoj#w-{l1E0SDoihAIJT^iep!uUmZ!u-9Db;*j4ABSW5TgTouQzI{(x}x?@9C z9J}iLvDI|k?eqJmICjE0BGg`&5tIj{Ok#23diep!u=T4vB_p_5#9J}iL@m@Oa z_W5-vj$L*Bxx?w6pQ+;5Rp+_W=X1H=S8?pB^DnHVTVJT+*j4Ab)8}(v9IoQnRp(z^ zPsiOpzYfK*tIoeXo9=t#RUEtOJa_thF8BK?j$L*BmBn=2?c*tqU3LBkBk8!?$5R}; z>O6P)xF5|`aqOz|uTG@<@lX}Vt~$@1KA+G1zKUa4o###;$Nj#FV^^K$P9OJ^3%j@#j&f-zt&5~-9En$iep!u=T0BT{l1E0SDpW}v2@(+<0+0^b$)Xt-Om@Q zICjinCt>A2s=QyjbMJa_sy?)Oz3yXySbVmj{k z@f63d8XtH1?dNg7uQnIE>O6P)xRd6pICjv%X>!B)+U3H#2 zeLk1_eHF*9I)Ca$y3>}cICjlI?tUxZpUyH$F4fhoj(4&BUK!`>O6P)_zT9XICj&& z$F4fhoj(3s^Hm(X>ip_tx+i*79J}f~clvz(lZUG~cGdZ(X3}xD&#yyq?5gv}Hq(83 zrHW%$oqu{E-7`~F9J}f~cl!Lk*Vd~zcGdas97*@=Y!%0@I)8jT-SMp|j$L*BxufZx zU##NTRp+_WZ$FRweHF*9I{(6n^y^Di9J}iLcjwZ*I8nv1tIoePl|HEDt$F3S5-(MQPF2BFj^-uHr zOXKQw+y3uYd`}6-_m{dh$M=`;QR83d_uV|-Tk6_e?5gp6PYL(N%s`uqT{ZsAv2=WY ziC-t$TO&@KJ?=Rt_=JQWkOvm?@_;sSq#jYC9_mpr$CkEPF z?5gpnE~Vr9OZ+;~=3-Zk=X**xzQ5G9x!6_X`JNJv?=5w0E_T&;zNdua`%7J$i(NJT ztc`She+eILE_T&;zNdscXL6v;#jYC9_muGGt_`%g*j3~Co)Vt#FLiA$cGY;kr-bAC zOI@3bT{WKXDdC0}2HITgs_}eJ3D5VJx;7WPYCPXl!twp3uFb`+8oz5c9p7KVN1KaX zHJi;@62b7rScwJyYrU{t`agTei@v8%@OJtZ99U+UUi?5gq4Y^3A+OZaGWv8%@OJtf?;lLKuocGdXfy>xtU ziC-t$TX;O1K}*4Yaw~RpVcsNcZERfi@SrYCPXl;`90bQrG5USB>X;N;tm1 z)U~!EvDmpOZaGWv8&tr_?}X8@%^Q)f12N4YVQa7 z`F8IQ@jWHnNptb}+2;8E5s`00+rhDt5fi@SrYCPXl;&b`_ zQrG5USB*b)BOTvg!bh8nT{Zq~^XX2X9B6Z~tHz(vOLxZFK%0wQHJXHfi@SrYW&$N>G<9fzfQEd*j3~Co)V7lFLiA$cGY;kr-bACOI@3bT{WKX zDdG73QrG5USGV^&f8~m|yz8GFe9O*XdA0gSBklj(cD4O{yZ`TR=U;dz-Cz7<700eR z|IzoR`_r>ioxFPWOq=S8?pB^MCWfbicW;iep!u|E-hK{nq1E9J}iL>>sE5?T=P* z?5gv>b49w}d9{jTSDpV`52btXlT{qM>iqA%H{I`@Ud6Gi&i~%AbbtG^RUEtO{M^UV z{r`3g9C*#pkOycGdZR^kBOG za$gn4t~$SPQo4o5t2lPm`Ty#V)BV>Ut>V~K=RbW#x=+7a#j&f-f99cd|M-(t9J}iL zKY4GuKRUgNV^^L3qhsk7KU>AItIq#7A4~U7udU+PRpzC90_n)ug*j4BMhX>Og-dDx3tIq$MlhXa0$E!GY)%pMNkJJ6h zN2@q?)%nj|k?wP^R&ngA^Z(OB>Hh5}t2lPm`TzO7>5iOU#j&f-A32upfB9?`$F4g6 zzkV#;zq_`IV^^L3cdw=U{1>Y@cGda+?NjOg_XAZNyXySE-;wU$KUc-EtIjWdF5Um} zi7Jj=b^brxnC?HkQN^*V&i|i}qVs7ryXyQqN7B9XL>0%bI)BYlx@+gEICj292?;@DN^Z|bGHX|0N5SDoK;INi-N zRUEtO{P>iqjA(!GDE ziep!ufB$N_$wO5fyXyR1)9LOWt>V~K=kMM~ch7Pa$F4emU_RXkCaXAh)%g$h(tU8P ziep!uzxQyushKK{U3LDxv2^!sR&ngA^B-DCcmF~a$F4g6;i+^V8Lr~kRp&plp6-Do zRUEtO{C_^1?%;S8$F4ema4X%f9IfKmRp_>o&V@ax{scy;@DN^e|0I{ zUz)4p*j4BM@7Db$)s^-CsFW#j&f-|JCVqzcyOMv8&Gi+D5vMEmv{us`Gzs zKHXoRtm4>J=YPGI?$_6xt{K~j#P2%s`In6>3(~>iep!u|Lv`GzjL&TV^^L3TZ`!)JXpoC ztIq%KNV?xWQN^*V&i~$0y1zYF#j&f-&rPKJ{h=z3U3LEVSJVBSLscBR>iplGPWQ>t zDvn)s{*xQ&{$RO^V^^L3d-Li3{$v%$t~x*8OE@82qpU3H#!ZXEC5Dvn)s zo_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#! zZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5> z@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5 zDvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qp zU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)s zo_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#! zZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5> z@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5 zDvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qp zU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)s zo_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpU3H#!ZXEC5Dvn)so_B5>@82qpT{S-5 zx!cd<{abA=cGY>_xpBOIt2lPmdEU8kynm}WcGY>_xpBOIt2lPmdEU8kynm}WcGY>_ zxpBOIt2lPmdEU8kynm}WcGY>_xpCJGRdMX9^SpE8dH+^%?5gv;bK`jbR&ngA^SpE8 zc>h*$?5gv;bK`jbR&ngA^SpE8c>h*$?5gv;bK`jbR&ngA^SpE8c>h*$?5gv;bK`jb zR&ngA^SpE8c>h*$?5gv;bK`jbR&ngA^SpE8c>h*$?5gv;bK`jbR&ngA^SpE8c>h*$ z?5gv;bK`jbR&ngA^SpE8c>h*$?5gv;bK`jbR&ngA^SpE8c>h*$?5gv;bK`jbR&ngA z^LKBga<9Pp8aqOz|ymRAt|5kDAs`I>a<9Pp8aqOz| zymRAt|5kDAs`I>a<9Pp8aqOz|ymRAt|5kDAs`I>a<9Pp8aqOz|ymRAt|5kDAs`I>a z<9Pp8aqOz|ymRAt|5kDAs`I>a<9Pp8aqOz|ymRAt|5kDAs`I>a<9Pp8aqOz|ymRAt z|5kDAs`I>a<9Pp8aqOz|ymRAt|5kDAs`I>a<9Pp8aqOz|ymRAt|5kDAs`I>a<9Pp8 zaqOz|ymRAt|5kDAs`I>a<9Pp8aqOz|ymRAt|5kDAs`I>a<9>U*iep!u=banR`?rc? zSDojb8^`;%iep!u=banJ`?rc?SDojb8^`;%iep!u=banJ`?rc?SDojb8^`;%iep!u z=banJ`?rc?SDojb8^`;%iep!u=banJ`?rc?SDojb8^`;%iep!u=banJ`?rc?SB;PN z?@y2C`*+u^eEnbK`jb?%Evh-}tEceBQZnynlCX zE_T&;-nntSe|K#zcGY;^xpBOIcWo|q)p*{yalC(bZ7z1zc;2~jynlCXE_T&;-nntt z4Gpxp*j3|s=f?B?-L<*cRpWW*#_|5$wYk_;<9X-C@&4Vlx!6_XdFRIQ{@t~?*j3|s z=f?5=-L<*cRpWW*#_|5$wYk_;<9X-C@&4Vlx!6_XdFRIQ{@t~?*j3|s=f?5=-L<*c zRpWW*#_|5$wYk_;<9X-C@&4Vlx!6_XdFRIQ{@t~?*j3|s=f?5=-L<*cRpWW*#_|5$ zwYk_;<9X-C@&4Vlx!6_XdFRIQ{@t~?*j3|s=f?5=-L<*cRpWW*#_|5$wYk_;nI&T!yK8f?tH$%rjpO~hYjd%y#`DgN!yK8f?tH$%rjpO~hYjd%y#`DgN!yK8f?tH$%rjpO~hYjd%y#`DgN!yK8f?tH$%rjpO~hYjd%y#`DgN7JVDgufQiaJ_RT3S+0Noh$r<&>0`lv5JdvF`u5UYh5s zuH?6JWS7sg?{n+lZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F z{r0$fZ->{pIsNihTY+noO0a=LfByw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_JN)h2=l%BY_PBd*m)EyB{k!Gd+vRm` zPXBH>_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br z&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJyECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh z*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_= z^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F z{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=# zx5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY# zb94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O? zPXB&;+`YHM>)f3F{r0$fZ-4D?=RWTC@3;TECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}o zetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4 z_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W z+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?ePEQ zAOAT0o4)f3F{r0$fZ->{p zIsNka0<#g|Md7Ycnzgy0|U0&zr^zW8)Z_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=cKF-3&-?A)?Q!?sF0XHM`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%m1xE{c-v?Z#nmNd7Ycnzgy0|U0&zr^zW8)Z_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}2 zfBW~p_=}(Z-TrU??@#}3&wci9PXBH>{kvUW=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy)f3F-E!{j z@;W#7SAO@~a_;T&IybL>x14*syw1)2)xZDSa_;T&IybL>x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T&Iya|(x14*s{NMW1AE$fsmUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf`v-sc+j8#h@;W!K zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T$w}1by|J6_bZvVx9{L{bNbD#a2)4y9z|8AGpxjFs26<#ldO|8BY4#lKx%=jQb9mcL#6+vRm`PXBKC+r__K zUgzfY@0NFOm)E&D{k!Gd+vRm`PXBJX+r__KUgzfY@0NFOm)E&D{k!Gd+vRm`PXBJX z+r__KUgzfY@0Pz^{M+SqZchJhdG~gCotx9YTh6^*UgzfY@0N3Km)E&D{k!Gd+vRm` zPXBJX+r__KUgzfY@0Pz^{M+SqZchJh`P;?6U0&zr^zW9xUHseSb#6}oZu#5Azg=GE z=JfBDcW;;1xjFs26<#ldO|86<=c6ptf)4yBpcJXhQ*SR_UyX9{e|8{wuo72Br-o0I3 z=jQb9mb+d2+vRm`PXBKC+r__KUgzfY@0Pz^{M+SqZchJh`P;?6U0&zr^zW8;Z<#ldO z|8Du)#lKx%=jQb9mcL#6+vRm`PXBIs_jY-mo72Br?soBSm)E&D{k!FF7yov7otx9Y zTmE+OZ<#ldO|89Brc6ptf)4yBJyxzGFU-|g`~UHseSb#6}oZu#5Azg=GE=Kjj>ep~)_@o$&cxq1D& zTKdx8-ja|8{wuo7cZv-o0I3=jQb9mUC~H*SR_UyXD;5<#ldO|8BY4 z#lKx%=jQb9mUnNL*SR_UyX9^d|8{wuo72Br-o0I3=jQb9mb+d2+vRm`PXBKC+r__K zUgzfY@0Pz^{M+SqZchJhdG~gCotx9YTh6^*UgzfY@0N3Km)E&D{k!FE7yov7otx9Y zTi(50UgzfY@0N3Km)E&D{k!FE7yov7otx9WTmE+OZW>b94H4%e%MB>)f3F-E!{j@;W!C zf47`_yS&cL>EA7PyZE=u>)f3F-SY13@;W!Cf4AK2;@>W>b94H4%e%MB>)f3F-Ey~! zf4jWS&FSAQf4lg%%j?{n{@wDoi+{Vk&durHE$`kguXA(ycgwlA%j?{n{@rr!?eaP| zr+>HH?c(1quXA(ycgwrC%j?{n{@rr7i+{Vk&durHEq}ZCx6A9?oc`VNw~K$fyw1(( z-!1RnF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgx)_{_XNQ zH>ZEMynDO6&durHEqA;4x6A9?oc`VNw~K$fyw1((-!1RnF0XTQ`ghCSF8=NEIya|( zx4e71yw1((-!13fF0XTQ`ghCSF8=NEIya|(xBTtm-!89nbNYA7ySK~h+?@X1a_;T$ zw}1chKmY09?f>%s{Pgek+<*1|uiLpf{k!G#?{;~eo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJy_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy+quvC?ceQj_uekAb94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*s{NMhwAE$fsmUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy6<#ldO|86<=c6ptf)4yBpcJXhQ*SR_UyXD>6<#ldO|86<=c6ptf)4yBpcJXhQ z*SR_UyX9{e|8{wuo72Br-o0I3=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBp zcJXhQ*SR_UyX9{e|8{wuo72Br{&w+im)E&D{k!FF7yov7otx9YTmE+OZGcd%OJK{QZy9zxmt2k9X(4_h0?}?{;oZ z|8BY4#lKyjuXA(ycgwrC%j?{n{@rr7i+{Vk&durHEq}ZCx6A9?oc`VN?(OnAH>Z2I z-0kAuF0XTQ`ghB_x6A9?oc`T%w~K$fyw1((-!1RnF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEM-0kAuF0XTQ`ghB_x6A9?oc`T%w~K$fyw1((-!1RnF0XTQ`ghCSF8=NEIya|( zxBTtm-!89nbNYA7-!A^`@;W!Cf4BVY;@>W>b94H4%e%MB>)f3F-E!{j@;W!Cf4AK2 z;@>W>b94H4%e%MB>)f3F-Ey~!f4jWS&FSAQf4lg%%j?{n{@wDoi+{Vk&durHE$`kg zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%iS*i?eaP|r+>G+ zd%L{O&FSAQcf0tv%j?{n{@wDoi+{Vk&durHE$`kguXA(ycgx)_{_XNQH>ZEMynDO6 z&durHE$7}YuXA(ycgx)_{_XNQH>ZEM{O#i3F0XTQ`ghB_x6A9?oc`T%?(OimfB#Sa z`lo-l|MMUJ^zZiEfA#<0+qpUYyXExnc6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5 z<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJ zy_jY-mo72Br z&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jdT(xzGFU-|cbt-Y&0mbNYA7xwp&f z+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x z&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9 z>)f3F-E!{j@;W#7H~!?e<=orlb#7k&ZaMdMd7Ycnzgy0|UH)(W{>SOwyye{6<#ldO z|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyECaUyZ3f@otx9Y-yV1G?eID`r+>da z?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd* zhu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+ zIya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y z-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct& zZEUJ?`Gy;dO3K|9*Si zy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8 zc6gne)4$&yckk`+Iya|(zdi2W+h6EGx3bML?U{rTECaUyZ3f@ zotx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#G zbNct&ZEUJ?`Gy;dO3K z|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durH zZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsN|g#k{hPmg+`YHM>)f3F z{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=# zx5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*f9-Go{vZDDpZ?wc zkN?F_|8CFySKq&H-{$o1meal4<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJy_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy5>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|#x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iUx~ z`}aTngP;EW_RoI$_djj_VE1oM|9*R%{>|SVUgzfY@3+U@dpo?&&FSB7kGuDFc%7Tm zzuz8r@9pq9H>ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il z+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&; z+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzs zb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66| z{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|( zzdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G z?eID`r+>da?%vy9``fvXyZ!s^pZ)aj^ZmK^U;Y04c5Y7petVq$&EFlLuXA(y_uJ#{ zy&YcX=JfBk$K887yw1((-*1n*_jY)lo72DF9(V8U@H#iAf4@EM-rM1IZchJxd)&RZ z!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=#>ECaUyZ3f@ zotx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#G zbNct&PYd)&RZ!|U9<{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se z=jQb9x5wRkJG{=#>ECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk) zoc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udZwpa1EP z)4%z<$K887yw1((-*1n*_jY)lo72DF9(V8U@H#iAf4@EM-rM1IZchJxd)&RZ!|U9f z{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=#>ECaUyZ3f@otx9Y z-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct& zZEUJ?`Gy;dO3K|9*Si zy|=^b+?@XX_PBd*hyUZh^yBnz{_b)2-VU#GbNct&Gcd%L{O z&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f z+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x z&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9 z>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*s zyw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14)B{O#N4{r2znxO;Dx*S9(SyXD;5<#ldO|86<=c6ptf z)4yBJy))1hZZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&Hd58`E5D(c6ptf z*S}lNy_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyZEMoc`S|uXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f4u3oMdB6R;J?`Gy<#ldO|86<= zc6ptf)4yBJy|N6J(+}q`KZeIUxIrnyXotyjXfBxHY?(OnA zH?M!UoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%m3ZK^W*ey-g55k@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n z{@rr!?eaP|r+>GcdprE?-~Zj;|LNcDKl+b;`geQovww5?cgyMD?eaP|r+>HH?c(1q zuXA(ycgx={{_XNQH>ZEMynDO6&durHEqA;4x6A9?oc`VNw~K$fyw1((-z|T;__xdJ z+?@X1^6u^OIya|(x14*syw1((-z|5$__xdJ+?@X1^6u^OIya|(x14*syw1((-z|5$ z__xdJ+?@X1^0$kByS&cL>EA8y-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-z|5$__xdJ+?@X1^0$kByS&cL>EA7XyZE=u>)f3F-SW4Kf4jWS&FSAQf4lg%%j?{n z{@wEK?eaP|r+>HH?c(1quXA(ycgwrC%j?{n{@rr!?eaP|r+>HH?c(1quXA(ycgx={ z{_XNQH>ZEMynDO6&durHE$7}YuXA(ycgx)_{_XNQH>ZEM{O#i3F0XTQ`ghB_x6A9? zoc`T%w~K$fyw1((-z|T;__xdJ+?@X1^0$kByS&cL>EA7XyZE=u>)f3F-SY13@;W!C zf4AK2;@>W>b94H4%ik{k?eaP|r+>G+d%L{O&FSAQcf0tv%j?{n{@wDoi+{Vk&durH zEq}ZCx6A9?oc`VNw~K$fyw1((-!1RnF0XTQ`ghCSF8=NEIya|(xBTtm-!89nbNYA7 z-!A^`@;W!Cf4BVY;@>W>b94H4%e%MB>)f3F-Ey~!f4jWS&FSAQf4lg%%j?{n{@wDo zi+{Vk&durHE$`kguXA(ycgwlA%j?{n{@rr7i+{Vk&durHE$`kguXA(ycgx)_{_XNQ zH>ZEM{O#i34u3oMdB6R;J^rVQf4jWS&FSAQf4lg%%j?{n{@wDoi+{Vk&durHEq}ZC zx6A9?oc`VNw~K$fyw1((-!1RnF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM-0kAuF0XTQ z`ghB_x6A9?oc`T%w~K$fyw1((-!1RnF0XTQ`ghCSF8=NEIya|(xBTtm-!89nbHDqG z-P-u&(0$Gh|2`>+1~emgg(f4AK2;@_^%*SR_UyX9{e|8{wu zo72Br{&w+im)E&D{k!FF7yov7otx9YTi(50UgzfY@0N3Km)E&D{k!Gd+vRm`PXBJX z+r__KUgzfY@0NFOm)E&D{k!FE7yov7otx9YTmE+OZ@MSZvWeV`P0AKbN|)9zqfO9`ghCe-|g}`H>ZEMoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T$w{xHO+rQi6?!8@J=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy)gEl-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j^7=NXd$*i>yS&cL>EA8q-Y)<5e*fe2Z{Bk5?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W#7PyXPy<=orlb#7k&ZaMdMd7Ycnzgy0|U0&zr^zW8)Z)f3F{r0$fZ->{p zIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gx zxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N z9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM z>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}o zetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`GyU;Ep+kGuW*?Z5x&-{<>t@4x!}`R&}C{{8ki z{hPl#K40hN^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&; z+`YHM>)f3F{r0$fZ->{pIsN)f3F{r0$f zZ->{pIsNECaUyZ3hZzxVqer+f2vkGuDFc%7Tmzuz8r@9pq9H>ZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{p zIsNdNw2YcMTx5Mk)K3D&Kd)&RZ z!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=#>ECaUyZ83j z{`T+R|Er(=-Tv3V|LNcDx&P|>_wC!9{@rrAce}jK&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n z{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL z>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9? zoc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O z&FSAQ=iV-_b94H4%elA9>)f3F-E!{j|Ier0!hXB`X?b=dMvx}PkJ*>HlF!QV+}UPlfA{$; zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwpgLzJ1_jY-mo72Br&b?h;=jMLrKmM|ud%L{O&FkMS z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}Y|2Kd8$LZg^<=orlb#6}oZaMdMd7Ycnzgy0|U0&zr^zW8)Z_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5 z<#ldO|86<=c6ptf)4yBJyTyTj|;oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b z+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne z)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaU zyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY} z-VU#GbNct&ZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{p zIsN)f3F{r0$fZ->{p zIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gx zxO;Dh*SR_U`|WY}-VU#GbNct&da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct& zZEUJ?`Gy;dO3K|9*Si zy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8 zc6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vy9_qTulZ~yYAf4BepfAQ15+jIZ5-`}@y zbNYA7>E7+~Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x z&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9 z>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*s zyw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@V9TD_uIeQ_jY-m zo72Br&b?h;=jQ&>fB0oN_jY-mo7cTt&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyp7ew_ZzTh6^*UgzfY@0N3Km)E&D{k!Gd+vRm` zPXBH>_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy+rR(efBfm+ z?f?4!{q*nl+-Lvh^zW9_zuV_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJ zy_jY-mo72Br z&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJyGcd%L{O&HbhS@XK=U?eaP|uYb3kd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&f9tROINh7KoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|_m6+)m*w2s<#lde|86<=c6ptf)4yBJy<#ldO|8Du)#lKx%=jQb9mUnNL*SR_U zyX9^d|8{wuo72Br-o0I3=jQb9mUC~H*SR_UyX9^d|8{wuo72Br{&w+im)E&D{k!Ge z+vRm`PXBH>_jY-mo72Br?soBSm)E&D{k!FF7yov7otx9YTi(50UgzfY@0Pn={M+Sq zZchJh`P;?6U0&zr^zW9xUHseSb#6}oZu#5Azg=GE=JfBDcW;;1xjFs26<#ldO|8BY4#lKx%=jQb9mcL#6+vRm`PXBKC+r__KUgzfY z@0Pz^{M+SqZchJhdG~gCotx9YTkdx8Z<#ldO|8Du)#lKx%=jQb9 zmcL#6+vRm`PXBKC+r__KUgzfY@0NFOm)E&D{k!Gd+vRm`PXBJX+r__KUgzfY@0NFO zm)E&D{k!Gd+vRm`PXBJX+r__KUgzfY@0Pz^{M+SqZchJhdG~gCotx9YTkdx8ZW>bMyLl%e%MB>)f3F z-Ey~!f4jWS&FSAQf4lg%%j?{n{@wEK?eaP|r+>HH?c(1quXA(ycgwrC%j?{n{@rr! z?eaP|r+>HH?c(1quXA(ycgx={{_XNQH>ZEMynDO6&durHE$7}2fBX0E|NEc*-Tu%2 z>ZgCV=l*NIe{SdI^zW9_zuV_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJ zy_jY-mo72Br z&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#lfEZ~Vb8%elA9>)gEl-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-!13f4uAXiKlt6B{@womZ~ye~_S|Rx=JfBD)4$v0b#6}oZn@jVzg=GE=JfBDzg_&> z<#ldO|89Brc6ptf)4yBpcJXhQ*SR_UyX9{e|8{wuo72Br{&w+im)E&D{k!Ge+vRm` zPXBH>_jY-mo72Br?soBSm)E&D{k!Ge+vRm`PXBH>_jY-mo72Br?soBSm)E&D{k!FF z7yov7otx9YTi(50UgzfY@0N3Km)E&D{k!Gd+vRm`PXBH>_jY-mo72Br?soBSm)E&D z{k!FF7yov7otx9YTmE+OZ<#ldO|89Br zc6ptf)4yBJy)$PZyZE=u|M@@pak@8uJNR+#{+#dq z*Z%*(?cALH-Ey~!f4jb~b94H4%e%MB>)f3F-E!{j@;W!Cf47`_yS&cL>EA7PyZE=u z>)f3F-SY13@;W!Cd$-)};@>W>b94H4%e%MB>)f3F-Ey~!f4jWS&FSAQf4lg%%j?{n z{@wDoi+{Vk&durHE$`kguXA(ycgwlA%j?{n{@rr!?eaP|r+>HH?c(1quXA(ycgwrC z%j?{n{@rr!?eaP|r+>HH?c(1quXA&M;}3pW{&w+im)E&@{k!Ge+vRm`PXBJX+r__K zUgzfY@0NFOm)E&D{k!FE7yov7otx9YTi(50UgzfY@0N3Km)E&D{k!Gd+vRm`PXBJX z+r__KUgzfY@0NFOm)E&D{k!FE7yov7otx9YTi(50UgzfY@0Pn={M+SqZchJh`P;?6 zU0&zr^zW9xUHseSb#6}oZh7~1d7Ycnzgy0|U0&zr^zW8)ZZEM{O#i3F0XTQ`ghB_ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr7i+{Vk&durH zE$`kguXA(ycgx)_{_XNQH>ZEM{O#i3F0XTQ`ghB_x6A9?oc`T%w~K$fyw1((-!1Rn zF0XTQ`ghB@x6A9?oc`T%w~K$fyw1((-z|T;__xdJ+?@X1^6u^OIya|(x14)B{O#ZW zGcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n z{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL z>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14)B{O#Q5{r2znxO;Dx|FeI5zkB;P z|L6Ybe)slo{(Jwm-|x3`bNYA7>EG@8zP`=r-Yw_eF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?ec%`H$P7I<}K&mF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO?U`?caa@FaGrJx4-%6-+#aTi@Sew`uE%8^l$#| z@H#iAf4@EM-rM1IZchJxd)&RZ!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se z=jQb9x5wRkJG{=#>ECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk) zoc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il z+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&; z+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzs zb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66| z{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|( zzdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNQ-oc{gxxO;Dh*SWdB@NfUr9(V8U@H#iIf4@EM-rM1I zZchJxd)&RZ!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=# z>ECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U z`|WY}-VU#GbNct&ZEU zJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF z-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$f zZ->{pIsNE3UTyZ3f@otx9Y-yV1G?ePELw||`e&EGxl-rM1IZchJxd)&RZ z!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=#>ECaUyZ3f@ zotx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#G zbNct&ZEUJ?`Gy;dO5A z|Ni|ywa49i`|JMp@BiWd{psKB-}}9v{@tGYul@eMeVfz2TTb_Gm)E&D{k!Gd+vRm` zPXBH>_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5 z<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJ zy8q`@G-& z-5z)E?eaP|r+c@Yd%L{O&FSAQ=iV;==l|r#{e^$~%X04R@;bNg>fbHr-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durGE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA!{7e>cYo`rf4}{AKmGgfw!gLeH>ZEUJx>4T z?+&kXbNct&ZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{p zIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gx zxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N z9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM z>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?XUaWxsSX3`|ZE`>EGw~ zbML?Q_s?(V=JfBk$LZhv-SK^$o72DF9(V8U@PGbKew_Zz-#zZ$+u?O?PXB&;+`YHM z>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}o zetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4 z_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W z+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID` zr+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&EA7ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA1-@bj`Z~tzO zyZ3f^eVfz2Th6^*UgzfY@0N3Km)E&D-Mi)7+vRm`PXBH>_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy zyw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_JN)h3=l%BY_PBd*m)E&D{k!Gd+vRm`PXBH>_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy|MHjR+}q`KZeIUx zIrnyXotx9YTh6^*UgzfY@0N3Km)E&D{k!Gd+vRm`PXBH>_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyGc{@pIGb94H4%iS*i?eaP|r+>Hn?c(1quXA(ycgwrC%j?{n{@rr7 zi+{Vk&durHEq}ZCx6A9?oc`VNw~K$fyw1((-!1RnF0XTQ`ghB@x6A9?oc`T%w~K$f zyw1((-!1RnF0XTQ`ghB@x6A9?oc`T%w~K$fyw1((-z|T;__xdJ+?@X1^6u^OIya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%w~K$fyw1((-z|T;__xdJ+?@X1^0$kB zyS&cL>EA7XyZE=u>)f3F-SW4Kf4jWS&FSAQ@7^x2b94H4%iS*i?eaP|r+>G+d%L{O z&FSAQ=iV-_b94H4%iS*i?eaP|r+>Hn?c(1quXA(ycgwrC%j?{n{@rr!?eaP|r+>HH z?c(1quXA(ycgx={{_XNQH>ZEMynDO6&durHEqA;4x6A9?oc`VNw~K$fyw1((-z|T; z__xdJ+?@X1^0$kByS&cL>EA8y-Y&0mbNYA7-7fy^@;W!Cf4BVY;@>W>b94H4%e%MB z>)f3F-Ey~!f4jWS&FSAQf4lg%%j?{n{@wDoi+{Vk&durHEq}ZCx6A9?oc`VN?(OnA zH>ZEM-0kAuF0XTQ`ghCUF8=NEIya|(xBTtm-!89nbNYA7-!A^`@;W!Cf497QyS&cL z>EA7PyZE=u>)f3F-SW4Kf4jWS&FSAQf4lg%%j?{n{@wEK?eaP|r+>Gcd%L{O&FSAQ zcf0tv%j?{n{@wEK?eaP|r+>HH?c(1quXA(ycgx={{_XI$bD#IyzuV(~y7;%t>)f3F z-SW4Kf4jWS&FSAQf4lg%%j?{n{@wDoi+{Vk&durHEq}ZCx6A9?oc`VN?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgx)_{_XNQH>ZEMynDO6&durHEqA;4x6A9?oc`VN?(OnA zH>ZEM-0kAuF0XTQ`ghCUF8=NEIya|(xBTtm-!89nbARn${<6G#yS&cL>)$Qs-Y&0m zbNYA7xwp&f+?@X1a<_|ryS&cL>EA8y-Y&0mbNYA7xwp&f+?@X1a<_|ryS&cL>EA7X zyZE=u>)f3F-SY13@;W!Cf4AK2;@>W>b94H4%e%MB>)f3F-Ey~!f4jWS&FSAQ@7^x2 zb94H4%elA9>)f3F-E!{j@;W!Cf4AK2;@>W>b94H4%e%MB>)f3F-Ey~!f4jWS&FSAQ z@7^x2b94H4%iS*i?eaP|r+>Hn?c(1quXA(ycgx={{_XNQH>ZEMynDO6&durHE$7}Y zuXA(ycgwlA%j?{n{@rr7i+{Vk&durHE$`kguXA(ycgx)_{_XNQH>ZEM{O#i3F0XTQ z`ghCUF8=NEIya|(x4e71yw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x z&durHEqA;4x6A9?oc`VN?(OnAH>ZEM-0kAuF0XTQ`ghCUF8=NEIya|(x4e71yw1(( z-z|5$__xdJ+?@X1^6u^O|K#_6oc_(<4t~5l|GocOzrCHC)4yBpcJXi5_jPVg_ip*y z#lKx%=jQ(K-~Y0_d%OJq^{;-M{_W4X9sGEA{(JwmfBxIQ|Equd)4$vQ`v3Xq-|e~o zs@J(W{k!Gd+vRm`PXBH>_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&HeR1`eixyc6ptf*S}lNy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyda?%vzsb#6}o zetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4 z_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W z+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID` zr+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX z_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&y zckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udZw zpZ%*Jr+@QzkGuDFc%7Tmzuz8r@9pq9H>ZEUJ?`Gy;dO3K|9*Siy|=^b+}vOPqd&FB z-FrK{&duxJZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$f zZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk) zoc{gxxO;Dh*SWdh`>j8<$K887yw1(*-*1n*_jY)lo72DF9(V8U@H#iAf4@EM-rM1I zZchJxd)&RZ!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=# z>ECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U z`|WY}-VU#GbN}Zb{HZd)&RZ!|U9f{{8m2dvAx=xjEhY?Q!?s4*ySo z^W$`H{_b)2-VU#GbNct& z{~tg7yFK?``~7|UHm85LobKH&uXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n z{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL z>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9? zoc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O z&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f z+?@X1a_;T&Iya|(x14*syw1((-!13f4uAXhdB6R;J?`Gy<@Ie&|86<=c6ptf)4yBJ zy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJyE-%lst0`SlQX~?xgk_6J#)XqfaKa=` z{4a?`6ARgvL6(KbwuGUhq@+wqNjW8@OUfxJr=&_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zcKQGOM_*3=<}K&mF0XTQ`ghB@x6A9?+<*DKZ_By2%j?{{{@rr!?eaP|r+>Gcd%L{O z&FSAQ=iV-_b91_P%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-VT5J_rLgsum1h^ zXJ7sMU$nol`!}b5zdcU>=I;)#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne z)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaU zyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY} z-VU#GbNct&ZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{p zIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gx zxO;Dh*SR_U`|WY}-VU#GbNct&f?cB%R{{8l6U;X=hfA0DBe*XM+ZchJxdz}8w-yQGQxjFs&?Q!?s4zF`_`uE%8 z?!6se=jQb9x5wRkJG{=#>ECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=# zx5Mk)oc{gxxO;Dh*SR_U`|WY}-VXmOfAHo0yC41B9(V8U@H)5m>fdjVyZ3f@otx9Y z-yV1G?eID`r+>da?%vzs|Nejda{4!a_qcm+hu66|{rl~4_udY#b94Il+vD!N9bV_= z^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F z{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=# zx5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY# zb94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8cKHANM_*3&=IZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+wc3^zyGg4{OaHB|NT$D`geQo-~0Z3 z`!=V4x18?XF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-VT5J_IZB$cYEBux6A9>oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+}z*$?QhGux6A9?y#C#C?(OnAH>Z2I zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|( zx14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(y zcgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!C zf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| z_n-dk+j8#h@;W!Kd$*i>yS&cL>EA8q-Y);UKlyU{H*Y!jc6ptf)4yBJyGcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_JN)h6 z|NO7M`gi+({@1Vm-JbjG-<yw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_JN)h3=lSj5?Q!?sF0XTQ`ghB@x6A9? zoc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O z&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbARu*zb)t9 zF0XU*`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(1@vGmKb8nZ|xq1D&<=orlb#6}oZaMdMd7Ycn zzgy0|U0&zr^zW8)Z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJyHH?c$$Z zUgzfY@0Pz^{IkpJ+?@X1^6u^OIya|(x7_XGpIu((=JfBDzg_&Z%j?{n{@wDoi+^@` zotx9YTi(50UgzfY@0N3Km)E&D{k!FE7ys)f3F-E!{j@;W!Cf47`_yS&cL z>EA7PyZC39*SR_UyX9{e|LpQQH>ZEM{O#hOU0&zr^zW9xUHr4l>)f3F-SW4Ke|CAD zo72Br-o0I3=jQb9mb+d2v&-w;oc`VN?(OnAH>ZEMoO`>x&durHEqA;4XP4KxIsLok zZx{dU@;W!Cf497QyS&cL>EA8q-Y&0mbNYA7-7fyw<#ldO|8Du)#Xq~e&durHE$`kg zuXA(ycgx)_{@LYqZchJh`P;=myS&cL>EA7XyZC39*SR_UyX9{e|LpQQH>ZEMynDO6 z&durHEqA;4XP4KxIsLokZx{dU@;W!Cf497QyS&cL>EA7PyZC39*SR_UyX9{e|LpQQ zH>ZEM{O#hOU0&zr^zW9xUHr4l>)f3F-SY13@;W!Cf4AK2;-6h!=jQb9mcL#6v&-w; zoc`VNw~K#vd7Ycnzgzxx@y{-=b94H4%e%MB>)f3F-Ey~!e|CADo72Br{&w-tF0XTQ z`ghCUF8)f3F-SY13@;W!Cf4AK2 z;-6h!=jQb9mcL#6v%}xceV*U`-5&qd#Xq~e&durHEq}ZCXP4KxIsLokZx{dU@;W!C zf4BVY;-6h!=jQb9mcL#6v&-w;oc`VN?(OnAH>ZEMoO`>x&dvSpAADQRyHH?c$$ZUgzfY@0NFOm)E&D{k!FE7ysGcd%L{O&FSAQ=iV-_b94H4%iS*i+2wU^ zPXBIs_jY-mo72Br&b?h;=jQb9mb+d2v&-w;oc`VNw~K#vd7YcnzgynDU0&zr^zW9t zUHr4l>)f3F-SY13@;W!Cf4AK2;-6h!=jQb9mUnNL*SR_UyXD;5<#ldO|86<=c6ptf z)4yBpcJa?HuXA(ycgwrC%j?{n{@rr7i+^@`otx9YTi(50Ugze1<5#~ecf0s!m)E&@ z{k!FF7ys)f3F-Ey~!e|CADo72Br{&w-tF0XTQ`ghCUF8^_|9^kuSK5F2$LH7o&ENQy_T0bs-~V=QPXBH> z{kvUW=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJy_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%m2>LzMTHeTh6^*UgzfY@0N3Km)E&D z{k!Gd+vRm`PXBH>_jY-mo72Br&b?j!cYpHbbZ_2r?(OnAH>ZEMoO`?c@Bj46>E68M z+}q`KZchJhIrnyXotx9YTh6^*UgzfY@0N3Km)E&D{k!Gd+vRm`PXBH>_jdT(x&QHx zzWR6jPyXgt|8CEH_HR!AZaMwCU0&zr^zW9tUHr4l>)f3F-SW4Ke|CADo72Br-o0I3 z=jQb9mb+d2v&-w;oc`VNw~K#vd7Ycnzgzxx@y{-=b94H4%e%MB>)f3F-E!{j@;W!C zf4AK2;-6h!=jQb9mUnNL*SR_UyXD;5<#ldO|8BY4#Xq~e&durHEq}ZCXP4KxIsLok z-P`4LZchJhIrnyXotx9YTh6^*UgzfY@0N3Km)E&D{k!FE7ysHn?c$$ZUgzfY@0NFOm)E&D{k!Gd z+vRm`PXBJX+r>Y-yw1((-z|T;_-B{bxjFs2<=xxmb#6}oZn@jVKfAon&FSAQf4lf+ zm)E&D{k!FF7ysHn?c$$Z zUgzfY@0NFOm)E&D{k!FE7ysHn?c$$ZUgzfY@0Pz^{IkpJ+?@X1^0$kBc6ptf z)4yBZyHH?c$$Z@7KAxzxzwy zmUnNL*SUH9yX9^d|LpQQH>ZEMynDO6&durHEqA;4XP4KxIsLokZx{dU@;W!Cf4BVY z;-6h!=jQb9mUnNL*SR_UyXD;5<#ldO|86<=c6ptf)4yBpcJa?HuXA(ycgwrC%j?{n z{@rr!?eaP|r+>HH?c$$ZUgzfY@0Pz^{IkpJ+?@X1^6u^OIya|(x7_XGpIu((=JfBD zcW;;1xjFs2G+d%L{O&FSAQ=iV-_b94H4%elA9>)f3F-Ey~!e|CAD zo72Br-o0I3=jQb9mb+d2v&-w;oc`VN?(OnAH>ZEM-0k9@U0&zr^zW9xUHr4l>)f3F z-SW4Ke|CADo72Br-o0I3=jQb9mUC~H*SR_UyXD;5<#ldO|8BY4#Xq~e&durHE$`kg zuXA(ycgx)_{@LYqZchJh`P;=myS&cL>EA7XyZC39*SR_UyXD>6<#ldO|86<=c6ptf z`}cqNZ8`ULd7Ycrzgy0|UH*4|_T_YM{&w)=-TBY+@BaPs$3OmZ`Zs?&_;K$1=lOTN z&durHE$7~z`**$0&FSAQcf0s!m)E&D{k!FF7ysZEMoO`>x z&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9 z>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*s zyw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwpgL&V8QW{@osT@9pwB zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?ec%?7r&hT&0EgBU0&zr^zW8) zZ_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJy_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf`*(l!Z8`ULd7Yd8_kZ|p zIrnyXotxLcTh6^*Uf)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO?U`?ce|Cm%jS<+rRwk-~XunrQN?d{rl~4 z`Zs@fc%7Tmzuz8r@9pq9H>ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4 z_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W z+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID` zr+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX z_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&y zckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@ zotx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;EE?{DWm?)LAufBDtF z&-dq^fA8ndZ|COp@3+V4-~8S2ew~}szuz8r@9pq9H>ZEUJ?`Gy;dO3K|9*Siy|=^v ztzZ0dx;KCKxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY# zb94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O? zPXB&;+`YHM>)f3F{r0$fZ->{pIsNEHa_ZEUJ?`Gy;dO3K z|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durH zZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNZEUJ?`Gy;dO5AKm5th?Q!?s4zF|b zy7$}T?!6uUKmPTX)4ln-$K887yw1((-*1n*_jY)lo72DF9(V8U@H#iAf4@EM-rM1I zZchJxd)&RZ!|U9f{{8m2dvAx=xjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=# z>ECaUyZ3f@otx9Y-yV1G?f3od-~a3X`_;eO|Lr%w`geQo-~0Z3`!=V4x18?XF0XTQ z`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP| zr+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0m zbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnA zH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_ zb94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T& zIya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}Y zuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j z@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f zF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-VT5J_IZB$cYEBux6A9>oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n z?%i_k?eaP|r+>Gcd%OHE|M1J{-@N7A+vRm`PXBH>_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#lfExBmRwa_;T&IybL>x14*syw1(( z-!13fF0XTQ`ghB@x6A9?oc`T%?(Oow^RqAaAO7Uqa_;T&I=A=g-!13fF0XTQx_8UD zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_JN)h6Kl;H}|9<<^um1g` z_6NIvbNct&da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY} z-VU#GbNct&ZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{p zIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gx zxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N z9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHM z>)f3F{r0$fZ->{pIsN&u{1E^zXOF>EHa_@&5nz$6rqW=IZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udZw%Rl^bx;KCKxO;Dh*SR_U`|WY} z-VU#GbNct&ZEUJ?`Gy z;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{ z&durHZ;!k8c6gne)4$&yckk`+Iyd)gfAMpB+`YHM>)gEl{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U z`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b z+?@XX_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne z)4$&yckk`+Iya|(zdi2W+u?O?PXB&;+`YHo_qTulFTeNIzuRB`;aC4|&;5Jfzi;2> z^zW9_z1!t=ZchJhIrnyXotx9YTh6^*UgzfY@0N3Km)E&D{k!Gd+vRm`PXBH>_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR^}yXD;5<#ldO|86<=c6ptf)4yBJy_jY-moBOrD__myT zyS&cL>)$Qs-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1)2n?L=woO`>x&dvYZAAMWSy_jY-mo72Br&b?h;=jQb9mUC~H z*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyfAQ77 z+yD6|U;Vp1_u0QW{k!G#?{;~eo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<= zc6ptf)4yBJy z_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9 zmUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy+quv4+rQi6?!8@J=jQb9mUC~H*SR_UyXD;5 z<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJ zy_jY-mo72Br&b?h;=jQb9mUC~H|JQ%{<^Ii|ep}AHU0&z*Uj4h} z+}q`KZchJhIrnyXotx9YTh6^*UgzfY@0N3Km)E&D{k!Gd+vRm`PXBH>_jY-mo72Br z&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyEA7Gcd%L{O&FSAQcf0s!m)E&D{k!FF z7ysZEM-0k9@U0&zr z^zW9xUHr4l>)f3F-SW4Ke|CADo72Br{&w-tF0XTQ`ghCUF8)f3F-E!{j@;W!Cf4AK2;-6h!=jQb9mcL#6v&-w;oc`VN z?(OnAH>ZEMoO`>x&durHEqA;4XP4KxIsLokZx{dU@;W!Cf497QyS&cL>EA7PyZC39 z*SR_UyX9{e|LpQQH>ZEM{O#hOU0&zr^zW9xUHr4l>)f3F-SY13@;W!Cf4AK2;-6h! z=jQb9mcL#6v&-w;oc`VN?(OnAH>ZEM-0k9@U0&zr^zW9xUHr4l>)f3F-SW4Ke|CAD zo72Br{&w-tF0XTQ`ghB_x6A9?oc`T%w~K#vd7Ycnzgzxx@y{-=b94H4%ik{k+2wU^ zPXBKC+r>Y-yw1((-!1RnF0XTQ`ghCSF8_jY-mo72Br?soCdF0XTQ`ghB_x6A9?oc`T%w~K#vd7Ycnzgzxx z@y`x_JNJ2h`*(Z%R~P^6@;W!Cf4BVY;-6h!=jQb9mcL#6v&-w;oc`VNw~K#vd7Ycn zzgzxx@y{-=b94H4%e%MB>)f3F-E!{j@;W!Cf47`_yS&cL>EA7PyZC39*SR_UyXD>6 z<#ldO|8BY4#Xq~e&durHE$`kguXA(ycgx)_{@LYqZchJh`P;=myS&cL>EA7XyZC39 z*SR_UyXD>6<#ldO|86<=c6ptf)4yBJyGc zd%L{O&FSAQcf0s!m)E&D{k!FF7ysY-yw1((-!1RnF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM-0k9@U0&zr z^zW8;ZEA7PyZC39*SR_UyX9{e|LpQQH>ZEM z{O#hOU0&zr^zW8;Z)f3F-E!{j@;W!C zf4AK2;-6h!=jQb9mUnNL*SR_UyX9^d|LpQQH>ZEM{O#hOU0&zr^zW8;ZEA8q-Y&0mbNYA7-7fyw<#ldO|8Du)#Xq~e&durHE$`kg zuXA(ycgwlA!{7e>KmOTQ|8D=MKl_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO z|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h; z=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5 z<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_U zyXD;5<#ldO|86<=c6ptf)4yBJy_jY-mo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf z)4yBJy_jY-m zo72Br&b?h;=jQb9mUC~H*SR_UyXD;5<#ldO|86<=c6ptf)4yBJyoc`T%?(OnAH>ZEMoO`>x&dvSSpMP7ZEMoO`>x z&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9 z>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*s zyw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OimfB*1Tzxwyvzy9jqKWu+>_is-BetVq$ z&EFkf=jQb9x5wRkJG{=#>ECaUyZ3f@otx9Y-yV1G?eID`r+>da?%vzsb#6}oetX=# zx5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd*hu66|{rl~4_udY# zb94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+Iya|(zdi2W+u?O? zPXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID`r+>da z?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX_PBd* zhu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&yckk`+ zIya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@otx9Y-yV1G?eID` zr+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX z_PBd*hu66|{rl~4_udY#b94Il+vD!N9bV_=^zXOF-FrK{&durHZ;!k8c6gne)4$&y zckk`+Iya|(zdi2W+u?O?PXB&;+`YHM>)f3F{r0$fZ->{pIsNECaUyZ3f@ zotx9Y-yV1G?eID`r+>da?%vzsb#Cro{@%~+arfR1uXFSI_uJ#{y&YcX=JfBk$K887 zyw1((-fxe)_jY)lo72DF9(V8U@H#iAf4@EM-rM1IZchJxd)&RZ!|U9f?)~<-dvAx= zxjFs&?Q!?s4zF`_`uE%8?!6se=jQb9x5wRkJG{=#>ECaUyZ3f@otx9Y-yV1G?eID` zr+>da?%vzsb#6}oetX=#x5Mk)oc{gxxO;Dh*SR_U`|WY}-VU#GbNct&ZEUJ?`Gy;dO3K|9*Siy|=^b+?@XX z_PBd*zwdAV{_p?ftADru!;ioEcYE&N`~H3VHm85LobKH&uXA(ycgwlA%j?{n{@rr! z?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q z-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T% z?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ z=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1 za_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durH zE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F z-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1(( z-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n z{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL z>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13f4uAXhd4BtMd)&RZ z%j?^m{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_yS&cL>EA8q-Y&0mbNYA7 zxwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@x6A9?oc`T%?(OnAH>ZEM zoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4 z%elA9>)f3F-E!{j^8e3IzTCh3y>H98x6A9?-m8DNoO`>x&durHE$7}YuXA(ycgwlA z%j?{n{@rr!?eaP|r+>Gcd%L{O&FSAQ=iV-_b94H4%elA9>)f3F-E!{j@;W!Cf47`_ zyS&cL>EA8q-Y&0mbNYA7xwp&f+?@X1a_;T&Iya|(x14*syw1((-!13fF0XTQ`ghB@ zx6A9?oc`T%?(OnAH>ZEMoO`>x&durHE$7}YuXA(ycgwlA%j?{n{@rr!?eaP|r+>Gc zd%OG}{LL??fAf}eZ!fOxP3NF!0ffROOPiuD0VR<%L~dN2n)u(W{DH8N>uuA1U!ULa`u=h<*U?*iZoTgR9gP(-_vP>0)8g&ioc()uxw)ss+qpUW z_wI6YPm8y6bN27u<>sCiZ|COh-@D7rJuTkO&DpsCiZ|COh-@D7rJuTkO&DpsCiZ|COh-@D7r zJuTkO&DpsCiZ|COh-@D7rJuTkO&AssCiZ|COh-@D7rJ-xr5{rhWw=Gec}$G`E|zteTU-`~Gy-{$P! zDQEXio40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-M zbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs; zw{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K) zPn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Z zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw z_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPM zb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>- zo40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26) zb5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$ z@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-M zbN26)b5EPMb946Zlygs;w{vs$@04>-i=TaaeSP-tbh){w&D*y*`*+H@r_I~BIs13Y zxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUW zcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~B zIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_ z+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@ zr_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH z%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qt>-zUM0Eo;Gji z=5K!dD(9XyZ|COi-YMsvHgD(V?B6Npo;LrjA3ogse)%fro;Gji_PO@&lygs;w{vs$ z@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-M zbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs; zw{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K) zPn)-Mb9V2Pb5EPMb946ZlygsupZ)u*KY8rm>DT;M$NrtJd)>b|`*+IOztiUJ+?@S8 z<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^ z{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am z+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd z=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH z+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALG zJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5m zoc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe z?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{ z)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8 z<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^ z{X6B{)8_5moc%lH+|%M`=U!i*{X1Q5?rHOOZqELla_(vKc5cr8opSDJ^LB2|{+)8} zY4dh&&iY-*N2U=~ut~*uT?tulqM=|4upkciOz2o3nqX-0b3Ko40dw_V1LR zUHokGc5cr8o$~H!^LB2|{+)8Oi=S=Y&du4sQ+{^wv(4MNIs13Y&n|wpc{?{}|4w=L zw0S!>Xa7z)_q2ICH)sD&x!J|fHgD(V?B6Nxo;Gji=Iq}o=bkoi=jQC+DL1?L+2-xs zoc%lHXBR))yq%k~f2X{A+Ps~cvwx?Yd)mC6o3nqXoO{~5otv|Nr<{A*yq%k~f2Z8+ z;%A$;b946Zl%HMvZ1Z+*&io40dw_V1K)Pn)-MbN26)b5EPMb946Zl$%}r zZ1Z+*&iF|1(#4_q2ICH*fz=x!J|fHgD(V?B6Nxo;Lpv-hVjzH$OZ0^6vck z_51z)e|B!p{+)8Oi=SXa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2IC zH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56q zc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z) z_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+l zPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!> zXa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@A zJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upg zw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD& zIrp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{} z|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2IC zH)sD&Irp^q*}2!(Xa7!@n|s>4otv|Nr<{A*yq%k~f2W*#+Ps~cvwx?Yd)mC6o3nqX zoO{~5otv|Nr<{A*yq%k~f2W*#+Ps~cvwx?Yd)mC6o3nqXoO{~5otv|Nr<{A*yq%k~ zf2W*#+Ps~cvwx?Yd)mC6o3nqXoO{~5otv|Nr<{A*yq%k~f2W*#+Ps~cvwx?Yd)mC6 zo3nqXoO{~5otv|Nr<{A*yq%k~f2W*#+Ps~cvwx?Yd)mC6o3nqXoO{~5otv|Nr<{A* zyq%k~f2W*#+Ps~cvwx?Yd)mC6o3nqXoO{~5otv|Nr<{A*yq%k~f2W*#+Ps~cvwx?Y zd)mC6o3nqXoO{~5otv|Nr<{A*yq%k~f2W*#+Ps~c`};q0m2*#-o40dw z_V1K)Pn-V-??0T~n^(>~ZT>x-o40dw_V1K)Pn)-MbN26)b5EPMb946Zl$%}rZ1Z+* z&i-o40dw_V1LNUHokGc5cr8o$|AbpKadG&Dpo40dw_V1LNUHokGc5cr8o$|AbpKadG&DppVc{?{}|4zBt#m_cx=jQC+DL=dT+2-xsoc%lHXBR)) zyq%k~f2aKH;%A$;b946Zly^^?w{vs$@06Qe{A}}fZqELl^0SMdZQjn!*}qeMcJZ^# z+qpUWcgnk`&D*&-`*+H@r_I~BIs13Y%`Senc{?{}|4w=Lw0S!>Xa7#Q*~QN`Z|COh z-zh)4_}SuT=U!i*{X1R$*u~E_Z|COh-zh)4_}S*|+?@S8Xa7z)_q2ICH)sD& zIrp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{} z|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2IC zH)sD&Irp@AJ2z+lPC56qc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56q zc{?{}|4upgw0S!>Xa7z)_q2ICH)sD&Irp@AJ2z+lPC56q_}RbT`Nm`a-u;VX|9)rs z#=d`Z_V3;0?BD#fcsn;||K440?rHIMZqEL_yWHH<;_cj={d;%0xu?b3xjFmy?s9Wa zi??%g_V3;0=AIUB=jQC+yUWczE#A(}*}r#}n|oTkotv|N?=Cm@w0Ju=XaC+^ZtiLE zc5cr8y}R7p)8g&ioc()uxw)ss+qpUW_wI6YPm8y6bN27u<>sCiZ|COh-@D7rJuTkO z&DpsCi zZ|COh-@D7rJuTkO&DpvD5Xi??(8T>JO#a&u3Mw{vs$@7?9*o)&NC=Ir0Q%gsG4-psCiZ|COh-@D7r zJuTkO&DpAK(V@87d;bN26)vwNq_ z+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@ zr_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH z%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&- z`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zB zxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^ z&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Y zxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUW zcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~B zIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_ z+qpUWcgne^&D*&-`*+H@r_I~BIs13Yxu?zBxjFlH%DJb_+qpUWcgne^&D*&-`*+H@ zr_I~BIs13Yxu?zBxjFlH%DJb-&%V9BKKpmN+}zXV?c1FFJLTNd=Iz{^{X6B{)8_5m zoc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe z?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^-8<#n z)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8 z<=oTe?cALGJLTNd=Iz{^{X6B{)8_5moc%lH+|%am+?@S8<=oTe?cALGJLTNd=Iz{^ z{X6B{)8_5moc%lH+|%am+}t;O^eX3`HgD(V?cXWqo;Gji=Iq}o=bkoi=jQC+Dd(Ox zZ|COh-zn#wHgD(VzUkMla_(vKc5dGOopSDJ^LB3Tn?G=sb5EPMbMyA^lygs;w{vs$ z@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-M zbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs; zw{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K) zPn)-MbN26)b5EPMb946Zlygs;w{vs$@04>-o40dw_V1K)Pn)-MbN26)b5EPMb946Z zlygs;w{vs$@04>-i=X}bZJ&AU-@6|^_V2f)pV{|s&i=i-oc)`h7H{X~?BBb~%{?vN z&du4scbA)cTD+Z`vw!a{H}|x7J2z+l-d%3)Y4LV$&i=i-+}zXR?cALGdw03Hr^Va3 zIs5nSa&u3Mw{vs$@7?9*o)&NC=Ir0Q%gsG4-psCiZ|COh-@D7rJuTkO&DpsCiZ|COh-@D7rJuTkO&DpsCi zZ|COh-@D7rJuTkO&DpvD5Xi??(0_V3;0=AIUB=jQC+yUWcz zE#A(}*}r#}n|oTkotv|N?=Cm@w0Ju=XaC+^ZtiLEc5cr8y}R7p)8g&ioc()uxw)ss z+qpUW_wI6YPm8y6bN27u<>sCiZ|COh-@D7rJuTkO&Dp-PrS@2uYgq?~)&{O_*c8*u;hfvcQ* z+WbHL;Nd=a=PKu(HvfA!5BH%PS2_2z`44^KaR2p7S2_2z`48VZ-0weem2*#<|7Q;# z?w`GKm2*#<|8Jf@+$&FC<=oTeKl13|KJwaC&OL4Z5AGiB51+frxu?y4^vT2h(L+}` z_q6#xx_7uge&H(To;LsAK6AKxk6q>5)8_BJez-q*`6}n0Hviw5uoIrp^r|LyMK{^Gf-oO{~*|Ni9R{^dhgIrp^re|hh4|HlhgIrp^r*Pc1t zUp{t~b5EQ9%hwP0f4+Q`b5EQ9tJ{bB&3(U-_Ks< z+|%Y?fBbO&`hlyQd)oZJ{@~&MpF3AM_q6%{_vYa~b>k}Mo;LrfPaN+5d+93Yo)-U> zH{2TcmVe`ktIN$jZT^7=5BI<;S2_2z`G52I!~M0VuX65b^PlnP;XdQFtDJk<{Ab=h z+`skQRn9$a{@;G`aDV-wtDJk<{9nI!xCdXj%DJb_|2xkd?r%JHm2*#<{~NC#?z3LL z%DJb_fA;Oe{kuO;KKIU5&OL4Z^KKsQZ{N7exu?zl?N1!;^Iy8kxu?y4!L7qR{KQqxJ#GFAA3WR_ zzH*gwPn-YupFi9eJ$;pPPn-YZM-TVKuU+Nb)8@bA?&1D}=dN<@Y4czDB<=oTe-*o$MZ+_}3=bkqI$is(w z_4HNFJ#GH0A3fYx zzjl>#Pn-XmyNCPQ=dN<@Y4eXidAP58=ql%)Hve_^4)^shT;<%;;^+OyTmG}-{Yd)O z|L}M}lCJyxet$OaL%8?edc(U??pyrxbhdf#L^$t9QqDbX-a8S_`;nA$Pn-8ng!6tR z<=oTey%XWyclRpio;L5D2>)&C&pYMZ)8@Ss;htW9-YMsvHt(GX=lw{^xu?y4`(uam zeuP)fJ#F4Q5$-#0U*+7>=D+Ky!+rO|S2_2zdGADg{xdIL<=oTey%XWT=kcqYd)mBr zBK-G$@G9q?Hvj%Rhx@*pS2_2z`R~7RIPXXN9Ll+;&HunlhkN$cRn9$a{s*5p+z&l? zm2*#<_fEvm{lm{+<=oTey%XWRA4xg)w0ZADxF5NDm2*#<_fCYr_2gB~J#F4Q5&lQ- zUFF=<=6~#k!+AgA`zYt0Ht(GX=lw{^xu?zl#LI{K$=g>s_q6$+dg^e`J$#jOPn-8n z#Lw;hNXogV&3h-p{q*BkIrp@A??ia-M^er`ZT@HP9M1a@UOD%)dGACx??+P3J#F4Q z5zhOOlygs;_fCZSxd*Ru?rHPhiSXW!q?~)&ymunp&p&#Vb5EQ1PK5u3yH`2)w0ZAD z_+NbTD(9Xy|4R=Y&ifJHM>+SjdGACx??+P3J#F4Q5zhOOlygs;_fCZSmD^W2_q2KM zM0oEl6XAYm{e3^> zKH&EWXPf`s^?L)(`;nA$Pn-8ng!6tR<=oTefA8kuK6K+M=bkq2orur%ekA4G)8;>X z>u|sS#8u8cZQeT(pX>cd%DJb_dndxZ^7K{CJ#F4Q5&k2uUFF=<=KtXC;r{TstDJk< z{70WWocAMs4&~g_=Ktv4;r{rAtDJk5)8@Ss;k_S8Irp^rH{Cwmo1ePMxu?xP^6=pvdG#vio;L5D zh@ad0k(6^!n}6%$hkM%tS2_2zdGADg?%VHN<=oTe-*NMB@4Ru9b5EQ1PQ>TG>!qul zd)oYCw+{CePh92P)8^m(;Njl=%2m!iZT>5tKb-d?{&Ohjo;L5D2kaQpxo`2$)7j>|6XCocNjdkldGACx??+P3J#F4Q5zhOOlygs;_fCX+-`%U6 zd)mBrBK)_lKkt-tPn-8ngnN4Zd8eFv+PrrnocALs=bkqI?T;PKyAfVF_q2KMM7Zy~ zeU)=hoByt-4)@&;U*+7>=Dic~`Omy~m2*#<_fCZWp2x3p?rHPhiSXb1!K<8m+Wh

Z!v$_wZHDJ#F4Q5kI&0BPr*eHt(GX z_tTGG<=oTey%XWRA4xg)wE3UCb2#rtc;(#F=Dic)ydOz9_q2KML^$t9QqDbX-a8TQ z=N`Puxu?y0C&GI_l5*~8^WKSYKmX`e&OL43I}!dD?q22G)8@Ss;eYYTtDJk<{4YIp zIPXS$ALZQB=Dic)ydOz9_q2KML^$t9QqDbX-a8TQS8iYB+|%a06XCrdNjdkldGACx z??+P3J#F4Q5zhOOlygs;_fCZKekA4G)8>Em&f&Zt;gxewoA*wH^L`}d+|%a06XCoc zNjdkldGACx??+P3J#F4Q5$@NYzsk9%&3h-pdq0wL?rHPhiE!SJq?~)&ymum;_aiCi zo;L5D25Zv)l*P?-SDIf7kB~ zmh*lj<=oTey%XWQA4xg)wE5q=dAJYVxXQVw&3h-}bG;u)Irp^r58pc6?>}*sb5EQ1 zPQ>SWKaz6pY4hHRaIZXlm78}ZXPfs&%7g9-km?ce&7H8^-hHIekA4QUCG(@`QC|e-jAgG zyem1|ymum;_aiCqo;L5D2>WzYtJ0c zyAfV__q6%HeEo3Vjqvl1WO;Y~{Q7D>>V|cOty^ zBPs8mHt(GX=lw{^&AXDb&412|hkNMRtGs*Kymul#*ZYx_b5EQ1PK5j1J6AdPwE54w zc{uMzd>`f9)8@Ss;XePRtDJk<{1@ChoOdI>k8Ht-ec20FIrp@A z??im=mp^uun|CE=oA*wH_kJYh-P7jZbo+2`e(Eaco;Lr;!-sq1)vKI)+Prrnes1qa zQqDbX{;iK6?rjfT<=oTey%X`dZ@+Vub5EOp$IZjN^Tt)qJ#F4Q5ug9Am#%W|Y4eZW zI^0)0ag}pVn}7F%hkN%cS2_2z`LBHbaNdph&!L=q+PrrnocALs=bkqIHFpp9wa;DU z+|%a06Y;s;kEEP?+WgnuJKWd5aFugUi=X!+Z+_45ek6V5i;wps>AK(Vf4|Q=5$?UW z-texJ`xgH^oo(Jb5zhOOlygs;_fCZKekA4G)8@Ss;k+M7Irp@A??kxw-Mz}qyOOib zdndww+xqiPdH1w=??kw#*PnOFxu?y0C&GC@l5*~8^WXm1;k+B+m2*#<_fCZS&f8bH zc~^3_`R{t_aNqs#Ro*>q-a8SW|ICY5Irp@A??m|TdHgEpo;L5D2>-nwyvn(!&Ay_=+2*|y;ePJHtGs*KymunJ_aiAc?@G=#@0|$u^N(KT z=UvI!=Dic)f8p*`-aT#JI}!dDpS;Ssr_KM;Lx=Nj#P?CoJ#F4Q5zhOOl$&=YXPfs< zg!6tR<>y_=+2*|y;eO@zRo*>q-a8TA`;nBJcO_?=_fCZKekA4RUCG(zy%XWQA4&On zS8}#_??gE7M^b*?m7Hzy_=+2*|y;eP%3tNgqxIorH2%yAfVF_q2KML^$t9Qf}UroNfL$pE}%c zJ$#jSPn-8n#OMC@i&wdMS8}#_??ia-M^b*?m7Fbp-ifTQ&-;;d`Qu&5+2*|y;k+M7 z`FU4zwt4SFIPXVNe%_UwZQeT(&ij#+pLZo^oA*wH^L`}d=UvI!=Dic)ydOz<_q2KM zL^$t9QqDbX-a8S_`;nA$Pn-8ng!6tR<>p<<+2*|y;okAatGs*KymunJ_aiAc?@G=# z@0|$e{Yc8Yr_FmO!g)WEa`Ud_Z1diUaNduk{JbkU+q`!oocALsKkrJ;Ht(GX=lw{^ zyQj^2C&GC@l5*~8^WKSY-jAf5d)mBrBAoXlDL3y*&NlCz2^6vck_51$(-idJDkEGnZD>>Uf-#Zb``;nA)Pn-8ng!6tR<>p<<+2*|y z;k+M7dH1w=??gE7M^bLym7HzfI}y(Nk(8fzC1;!WPK5J*B<1H_$=T+;6XCocNqP6Q zdGACx??+P3J#F4Q5zhOOlygs;_fCZKekA4QUCG(zy%XWQA4z%lw0ZADIPXVNZr+ug zZQeT(&ij#+pLZo^oA*wH^L`}d=UvI!=Dic)ydOz<_q2KML^$t9QqDbX-a8TQnV-4J zxu?y0C&GI_l5*~8^WKSY-jAf5d)mBrBAoXlDL3y*&NlCz2>V|cOsnkBPs8mHt(GX=lw{^&AXDb&3h-pc|Vf!?rHPhiE!SJ zq?~)&ymum;_aiAc?@G=#@0|$e{Yc8syOOibdndwqKa%q9Y4hHRaNdukoO@dQydQbf ze{sAYN#FcK$NQ0V-S79mALgA1_dDzN04etYzfU;Z{O_*c8*tu_q?~)&ymum;_aiCi zo;Lq`HxKur8&^5^w0ZADe6IH+Dd(Ox|KVGQ`~4@ba_(vK-ii2J??+P3J#F4Q5$=_z zuX65b^WKT@A9?L6=bkqI2X_zmhtFN*+|%Yi`sCrf8}V}}=bkqINB0i*$1hyv+|%a0 z6Y;s;kEEP?+WfuO5BDc8U*+7>=Dic~x!#YYoO{~5cOu-!UcJh>r_FmO!vE>BS2_2z zdGADc??+P3J#F4Q5zhOOlygs;_fCZSvl~}A_q2KMMEH-tbd_^YoB#7$hx2a4_fgJ0 zZQeT(&ij#+b5EQ1PK5J*B<0-G=Dic)ydOz9_q2KML^$t9QqDbX-a8S_`;nA$Pn-8n zg!6tR<=oTeUwh_o-i`3exu?zl5)8@Ss;k_S8 zIrp^rH{Cwmo1ePMxu?xP^6=pvdG#vio;L5Dh@ad0k(6^!n}6%$hkM%tS2_2zdGADg z?%VHN<=oTe-*NMB@4Ru9b5EQ1PQ>TG>!quld)oYCw+{CePh92P)8^m(;Njl=%2m!i zZT>5tKb&_X{&Ohjo;L5D2%7+WdDtb-3?-_$ud~Ht(H?&wu8{ ztDJk2S~9y2`ny&HvyNhx?%iuX65b^WKU0xqtZitDJk`f9)8@Ss;k+M7Irp^rpLqFjKY9Bq z=bkqIQ%@c4xreWE?rHPhiTJs_A4xg)w0ZADxSxLfD(9Xy@0|$m{Yc8Wr_KNDox^!I z!Yk*VHt(GX=lw{^xu?y0C&GC@l5*~8^WKSYKlk8O&OL43I}zUdk(6^!oA*wH`}s$& za_(vK-ih$PaQ7**uUgg}==6~s-!+AI2`zYt0Ht(GX=lw{^xu?y0C&GC@ zl5*~8^WKSYzjFI3=bkq2oe1y!NXogV&3h-pc|VeJ?rHPhiE!SJq?~)&ymum;_aiCi zp8o%Q+h3^Xcb(^X3F}@IBW$v*t7Y4cW!su2F-avEl8OT}#dcp5vwKktgI&8!uSIv; z9c=$Z1v$!U7uzcoD|A=OKo`p(#WF%&3{vXNVcCnY#L$rlWlZF_h=fsZ_9~8Egr(Wf zoc&13xu?zBiE#EKDd(OxZzsapkEEP? z+Ps|zXFrm1?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW%a_(vKb|Rep zNXogV&D)7^_9H3ho;GhM!r70coO{~5od{oc&13xu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1 z?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0d)oXTyu6(K2(O%b+Ps|zXFrm1?rHP( zA)MVv%DJb_U;3YyvmfD=b5EPM6XE{Vt9v>3wE6$;-!Er3;`b=$o;GhM!r70coO{~5 zod{oc&13 zxu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb_+lg==`@OxKd)mC6 z2yZ`oc&13xu?zBiE#EKDd(OxZzsap zkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW%a_(vK zb|RepNXogV&D)7^_9H3ho;GhM!r70coO@dQ+>iX;Z?FBx*8jBjBfppa_C5dm@3|A< zzULhv9qtA132E^!dN&x(eq`%#^PU!OC&Jl}Y#nai)8b#cvfTI2o$7G&o)&K>;=A@E zTZfzXwD^~gmixiQQyp&J)8g$!eAj+t>u~d)7H=oQ-MxCM!_9kIyqyUD!#7TKxOq>D zf8}_&A6+}u;pROp{?$v%*^T&f%nmp2Y4NX}EcfGEr#jrcr^VZe_^$oP*5T$oE&k-q z<$m(~sSY>qY4LU_@f^H}7fjb|Rep$kyTJJuTi>H&n zI^4Xc#oLK+Z+re!hnx4bcsmi^eq`%#^PU!OC&Jl}Y#nai)8g;Hz1#!Oo$7G&o)&K> z;=A@ETZfzXw0Jua?(H{Db+~y?i+{(J+g|r#jrcr^VZe@b)8Hhnx4b`1c+!XE(yn4ma;< z@$b8|oZSdNJKVgd#h*J_?)|q;b+~y?i?qY4PWemV5l-sSY>qY4J~-S?-Cur#jrc zr^P>cb2+;a|2bxdoADw-e#)N45?(?`iRNBHSO3zYn$!H}7fjb|T!z#@`29 zhnx4bcsmj9>iGL$>u~d)7H=oQ*^g`;Zr;=4|MdKFb|d`kaPyuPZzsZi{Q9X5H}7fj ze|~wnzc_oU!_9kIyq$>eKYROBhnx4bcsmjP6BkZ(xOq>Dw-e$2@*hrhxOq>D|KyG3 zK6T|(hnx4b_)ni(&Thn?V|KWCPmBM|o#mc8I@RIkJuUvT7nl3onNuBZ-qYgkMEtow zfAds_oA!hPZRREL}Qw0Jua{^-)F4ma;<@pdBo7f()gxOq>D z|I)4H>_+^a+2Q6rE#6Lqvme9d6#!;_XDZzrJv)!_9kIyqySdKeBbWc~6V~>W$^>M)=v`<~=RmPK2``**e_3 zr^VZeaP}ixhnx4bcsmj9YiCY%xOq>Dw-e#*N45?(?`iRNBHZ8n{;3W(?`iRNBK+ST zpXzY)o)&K>!vEc+Qyp&J)8fDWz;bpYe$VW1^PU!OC&Jl}Y#nai)8g$!IQx;U!_9kI zyqyU5_t#H#xOq>Dw-e#*N45?(?`iRNBAorm*5T$oE#6LqvmeDw-e#)N45?(?`iRNBHTA` zp6YP(o)&K>!rPB*9d6#!;_XB@`;o1~&3jtBod{u~d)7H=oQ z*^g`;Zr;=4?L;{Hk*&kcds@7m2xmXCb+~y?i?_@f^H}7fjb|Rep$kyTJJuTi& zgtH&nI^4Xc#oLK+_9I(|oA!r6~(9d6#!;_XB@`;o1~&3jtB zod{l-~_}Ss+JuTi>H&nI^4Xc#oLK+_9I(| zoA!r6~(9d6#!;_XB@yOFKK&3jtBod{Pm8w`@m>3ot;5ZGTD+YIXFsxaxOq>Dw-e#)N45?(?`iRW_V1UoAK_<* zoA!r6~(9d6#!;_XB@`;o1~&3jtBod{*S~+yoe1~6@eYu3FL+Nl zwD}juy8&lEl5*~8^L8Sf{Yc8Wr_H}~Wx4O4+snD9&D)9iuKh^Lxu?y)e6-vTF7D;r z)8_3&eAj*?<=oTe?L@e{SNC%6Y4dg>{14yQ%ekk`zjD0XkFM?I+|%Y?y|kR&h(CvN z?rHO{ohsAr?2nj z+|%amMEIXQx0iEIo3|6;?MG71J#F4jgtH$>Irp@AI}z^Z=k{{$Y4dg>{4eh8<=oTe ze|fZ=-H6|#oO{~5od{oc&13xu?y)@$7PTBfN6%Y4g8&b2+;aUOD%)`CngO?l+hBa_(vKb|SuO zKaz6pY4dg>oc&13xu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb- z&wa@F`P`4B!_9lzynP6F-`%~Od)mC62yZ`oc&13xu?zBiEwXwelO>qHg6}w+mEE2d)mC62xmW% za_(vK_upRbf#>#e?rHOOBED-sl5*~8^L8TK+i&dU+|%aYab-EX5x++{_q2IC5$>IL z_HyoN^Y1!Z&Tho-QO-SW-cE#j_uajmd)mC62>+g|dpY;Cc{>r_ekA4G)8^lMyqw(# zubg|@{QE8~XE(wt=bkoy?qs?5-`dN$r_I}m`0fYJ@8#Un=Iumy`;nA$Pn-YX_2oWv zc`xUlHviz+zWd=DdpY;C`A4oS z_vpF3oO{~5orv#0c4sf=o;H8}Xt~EP?&aLm=ASsT+!J^Aa_(vKPu^V4Zp42M<=oTe z?L;{Hk(6^!n}6zfxu>t~<=oTe?L>UnekA4G)8?N!S?(jZ_HyoN@pC`&{{OJ{BkAvc za_vXbalhC5+1!V4e{}Sg$5QU2{(d^Nc{>r#ekA4G)8_3&IQx;5b5EPM6XEPfQqDbX z-cE%3-G1*E{9h)8_3&xU1u@cgne^&D)7^_9H3ho;Lrd=a;h^;gxew zo3|6;K7M^K=bkqI=a-lJi?e$<_q2IC5#N9I_Fm3CZQf3V|HOs8oO{~5oe2Mz|FD;H zPn-YbjpaUdWiRKRHvj2!%h`?ib13JYHvgGB%RP6rmvc{>|Ln!(K6hp>=bkoiC*sfj z`I~z=_q2IC5#D|z<=oTe?L@dQ9Pj1a)8_3&_@hgEIrp@AI}!eiCwn>fwD~XHTF!37 z?@`V@ZQf3VvmZ%0_q6#hKfl~puJ7gC)8_x`@^aVC?&aLm=IuoMx$Q?%&OL43PK5jG z3wt^Dw0S!b-hL$I+|%a2dSf}e5neg>w0S!b&VD52+|%amL^%7Alygs;w-e#Mc4jZ< zo;GhM!rPCeoO{~5oe1|gzrUArPn)+B;s5q{FXx^%Zzsb4-KD*pd)oZhA6U+A#P3ng zJ#F4jgtH$>Irp@AI}y%)B<0-G=IundzrVhhb5EPM6XESgQqDbX-cE$GA4xg)w0S!b z&VD52+|%amL^%7Alygs;|Hh5w>_&Ly+|%amL^%7Alygs;w-e#)M^er`ZQf3VvmZ%0 z_q2IC5$>Be_j2xO^L8S<{Yc8Wr_I}maP}i9=bkoiC&Jl}q?~)&yqySVKaz6pY4dg> zoc&13xu?y4>-=(dBfN6%Y4dg>oc&13xu?y4`|@(%IlGs0Pn)+B@!jv<-pjeC&D)9a z_9H3ho)$m%A>-$BKavhN?`iY)A)Nh4%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW% za_(vKb|RepNXogV&D)7^_9H3ho;GhM!r70coO{~5od{oc&13xu?zBiE#EKDd(OxZzsapkEEP? z+Ps|zXFrm1?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW%a_(vKb|T!r z{Ka0*J#GHte_YOf#P3ngJ#F4jgtH$>Irp@AI}y%qB<0-G=Iul{`;nA$Pn)+B;p|6J z&OL43PK2``Njdklc{>r#ekA4G)8_3&IQx;5b5EPM6XEPfQqDbX-cE$GA4xg)w0S!b z&VD52+|%amL^%7Alygs;w-e#)M^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L;{Hk(6^! zo3|6;>_<}0J#F4jgtH$>Irp@AI}y%)B<0-G=Iul{`;nA$Pn)+B;p|6J&OL43PK5iD zKitc?r_I}m@b)7q=bkoiC&Jl{q?~)&yqySVKaz6pY4dg>oc&13xu?zBiE#EKDd(Ox zZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW% za_(vKb|RepNXogV&D)7^_9H3ho;GhM!r70coO{~5od{e+K;51d)mC62zU4DUd}yj-cE%7;TwB7_q6#}j+gtqHg6~5yY?d~=bkoiC&K;o^}U>X z+Ps|z|Fh@za_(vKb|Sp}NXogV&D)7^_9H3ho;GhM!u|Z*Ud}yj-cE%7#htyJd)oXj zkCw9=@q3hWPn)+B;p|6J&OL43PK2``Njdklc{>r#ekA4G)8_3&IQx;5b5EPM6XEPf zQqDbX-cE$GA4xg)wD~ulUCwTVSI#|c{#S1+8$?=JH<7J#F4j#CPpS zQqDbX-cE$GA4xg)w0S!b&VD52+|%amL^%7Alygs;w-e#)M^er`ZQf3VvmZ%0_q6!A z6B$3B`;m0Gc~6_S6XEW=yO(oMo3|6;?MG71J#F4jgnR27dpY;Cc{>r_ekA4G)8_3& zIQx;5b5EPM6XEPfQqDbX-cE$GA4xg)w0S!b?rqQS<=oTe?L>I{k(6^!o3|6;>_<}0 zJ#GH}+si%h++NN-ZQf4AckM?~&OL43PK0~=jlGr`{lNLXoO{~5od|C~l5*~8^B=sv+=njj z<=oTeA3VF(_{&ej} z&ZY0T=lj{*iEw{(G`^n>_fda8rN!HcaP}ixhnx4bcsmi!eq`%#^PU!OC&Jl}Y#nai z)8g$!xIaEV)#2tnE#6Lq|CqlI(&6SkE#6LqyE^`QZyj#l)8g$!IQx;U!_9kI{GXm* z&TfRC9d6#!;_XDZk6%C4;pROp{?9Kj_ZMeRb+~y?i?TvU(7H=oQf8xTa z4ma;<@pdBoU;e|X4ma;<@t?f0+^4Rb>TvU(7XRsU%h`?ibIcAm?`iR$xwG7JN2fa6 zyr;!~_TqA%J9DbT&3jtBorpj8=Wm|saPyuPZzsark8B-o-qYgkM7S>;pXzY)o)&K> z!XI5a)#2tnE#6Lq|KiE14ma;<@n5>NoZX1uGdtY8r^VZeaP}ixhnx4b_%A=d+*huj z>TvU(7XMe5m%DcMREL}Qw0Juae{TDct;5ZGTD+YI_tzIrb+~y?i?u~d)7H=oQeeKMt4ma;<@pdA-{m9ng z<~=RmPK5iL-#^vi<~=RmPK5v4<5L}O-qYgkMEJkEbgIM6ds_V0A6U+A#P69MZr;=4 z?L;{Hk*&kcds@7m2xmXCb+~y?i?&3jtBod{Dw-e#) zN45?(?`iRNBAorm*5T$oE#6LqvmeoITay<~=RmPQ-V=d;3&}oA+=+1ZBU^{FAK_=q_w7VD`;o1~&3jtBod{D zw-e$1#lJb#;pROp-cE%7m%ljG;pROp{^EaJ&Tho-nH_H4)8g$!IQx;U!_9kIyqyU5 zhyU(Whnx4bcsmi^eq`%#^PU!OC&Jl}Y#nai)8g$!IQx;U!_9kIyqySVKeBbWc~6VC z6XEPfwhlM%Y4LUDw-e#)N45?(?`iRNBAorm*5T$o zE#6Lqvmeu~d)7H=oQ*^g`;Zr;=4?L@dg`NLBk zZr;=4?L>I{k*&kcds@7m2xmXCb+~y?i?_@f^H}7fjb|Rep$kyTJJuTi>H&n zI^4Xc#oLK+_9I(|oA!r6~(9d6#!;_XB@`;o1~&3jtBod{_<}0J#GG_E6aWV++NN- zZQf4AckM?~&OL4Z<)h_(aB(l^o;GhM;=A@EDd(OxZzsaty}Fm1yOKkjw-e!i_{Lt| zJ#GG#_+^axg#0goj?5ip8xyTPK2`` zNx8WzIkbJ>PK2``N%^@eIkb5@5zc-j<=xZf?L;{Hk(8Uel0%!f6XEPfQr=0JH=bS2ZiH9fJ#GG1Z!Tvy!p|Ma@b3KK=lA^U|Lg0^{pRvs&OL44w-fPQ z`;nA$Pn)+B;p|6J&OL43PK2``Nx8WzIkb5@5zc-j<=xZf?L;{Hk(8Uel0%!f6XEPf zQr<}zI}z@!Z|vpW)8_3& zc>9r*b5EPM6XEPfQqDbX-cE$GA4$2nD><}zI}y%)B<1I>=0J`)@Dzz;ksA?Kk#v?rHPy zxU!txh~J}}d)mC62=~rAdpY;C`F9;HXE);aDCeFwZzsaN`|e(D?n(}A-cE#n&(*#B z+?5>KyqySdKa%ouS8{0c?>%15ZiH9fJ#GGdmzJ{|;gxewn?HB5-1~3s<=oTe?L>U{ z1Lya0b60X`^L8S<{Yc8Yr_F!x`f?w-yq9xNn}6`^au2?~mvc{>w-fQ_wjW73_q6$k zE-d%(eS10gw0S!b-~I57y_|d6{3BPEd-U91&OL43PQ>>gyR(;bPn$n~wA|wt_j2xO z^G}>v?uom5Irp^rCvPriH{w5sa_(vKb|RepNXogV%|CU#+|$?ga_(vKb|SuOKaz6p zY4gvVEccOHdpY;C__-hXr~m8PkEHMW@7I1L9rt_v^ZVS1aDR04md8@=qyBz6w0S!b z&VD52+|%amL^%7Alygs;w-e#)M^er`ZQf3V`{U!ioO{~5oe2N2@z*=$+|%amM7XQt zuXoD1r_I}maP}i9=bkqIr{|Zm8{w66Pn)+B;XZzSFXx^%|L2#N`-`)CIrp@AI}zW1 z_V!-RJ#F4jg#W~ay_|d6yqyUDm;bPrb5EQ9sQizjIrp^rFF(KBSFZ2n+|%a& z>hf~e&hF*h)8_3&{JHH%QqDbX-cE%3>kE51_q2IC5#D|z<=oTezj|XiyAfVF_q2IC z5zc-j<=oTe?L;{Hk(6^!o3|6;zIJ9W=bkoiC&Js0q?~)&yqyU5H^0A^b5EPM6XE~% zcrWLkHg6}w|J|j%oO{~**B@BUZp80V&OL43PK2``Njdklc{>r#ekA4G)8_3&xWB)? zmvc{>w-e#*M^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L;{Hk(6^!oBzg*_<}0J#F4jgtH$>Irp@AI}z@iH}`VxY4dg>y!}YZxu?zBiE#EK zDd(OxZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb_f9w2mb|buU?rHOOBAoq5%DJb_ zfBW)s-#NRNb5EPM6Y<^e-rmc(r_I}m@b)7q=bjcn_aWowb3c*}H}7fl_92}8NXogV z&D)7^_9H3ho;GhM!r70coO{~5od{oc&13xu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1?rHOO zBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW%a_(vKb|RepNXogV&D)7^_9H3h zo;GhM!r70coO{~5oe1|Y{>@&_J#F4jgts3_Irp@AI}y%qB<0-G=Iul{`;nA$Pn-XP zmzVp)f47%&Pn)+B@m>3olygs;w-e#)M^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L;{H zk(6^!o3|6;>_<}0J#F4jgtH$>Irp@AI}y%)B<0-G=Iul{`;nA$Pn)+B;p|6J&OL43 zPK2``Njdklc{>r#ekA4G)8_3&IQx;5b5EPM6XEPfQqDbX-cE$GA4xg)w0S!b&VD52 z+|%amL^%7Alygs;w-e#)M^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L@eb|Jh#7J#F4j zgts3_Irp@AI}y%)B<0-G=Iul{`;nA$Pn)+B;p|6J&OL43PK2``Njdklc{>r#ekA4G z)8_3&IQx;5b5EPM6XEPfQqDbX-cE$GA4xg)w0S!b&VD52+|%amL^%7Alygs;w-e#) zM^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L;{Hk(6^!o3|6;>_<}0JuQCjN8bDYto=y( zPydg#A4$jkUjO~~+=+1C8~?taaxZvKIJEf}$GZV%Kaz6pY4dg>oc&13xu?y)bY;2k zpWDm1r_I}m_^$m(%DJb_zkIaZ4=(QI+|%amM10qNB<0-G=IundyI1#e?rHOOBK!~E z*vq-6&A)QI+>fs9<=oTeU%j-P-H1Pja_(vKubnLS<6C<<_q2IC5#O~RNjdkl`I9%7 z`^ocrIrp@AI}zWtA4xg)w0S!b?x(Ns<=oTe?L_#WJ-3&0Pn)+B;q6CK&OL43PK2`` zNjdklc{>sA=jZlv?rHOOBK$Az?B(3k=6`v#oZX1uqnvx%yqySVKaz6pY4dg>oc&13 zxu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb_zwzvHb|buU?rHPC zdUH9u5neg>wE16OU+y=T_j2xO^L8S>Yd?~5?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w z*^i{0d)mC62xmW%a_(vKb|RepNXogV#m}9{`1#zAq{Gd7+Ps|zci-K;oO{~5od|C~ zl5*~8^L8TKTi@8rxu?zBiSYI#Dd(OxZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5%DJb_ z+lg>*dwwtHo;GhM!rPCeoO{~5od{ z+}m&L<=oTe-*II*yAi)fIrp@AI}z@kclL7bY4h(oTF!37?@`V@ZQf3Vd-vVFoO{~5 zoe2M)t9v>3w0S!b-hL$I+|%aYd%T?82(O%b+Wh-2EoV2vE9agzf9_i)8_3&c>9r*b5EQ9;PvG`ba^l5o;LsB+2tO5eJ|&pHg6~5&uu@Fa_(vK z4_#R9;rsS-?rHOOBEI|K8+$qTwE0J_EcfWSy_|d6yq$>eKXzv?=bkoy{%E*!-dpY;Cc{>sQFaKdL=bkqI$s5ak>dIcuJ#GHe=a#b@@#j#^J#GFo zcb0qZXfNlUHvid+%YE+5Ud}yj-cH1y`|~&Va_(vKb|Sp}NXogV&D)7^UpU^&xu?zB ziSS34_HyoN^L8Tq7f<$b?rHO1y0x6$h~J}}d)mC62xmW%a_(vKUw(eMuUy~Dxu?zl z)#c@`o!!g1r_I}m_;cHjq?~)&yqyU5*BAD3?rHOOBE0=b%DJb_fAz+4b|buU?rHOO zBAoq5%DJb_+lg@YBPr*eHg6}weeKL%&OL43PK37~Njdklc{>sAZ+?F-=bkoiC&K^j z@m|h7ZQf3V|GP_jIrp^ruRpMy-H6|#oO{~5od{#X)8_3&c>9r*b5EPM6XEPf zQqDbX-cE$GA4xg)w0S!b&VD52+|%amL^%7Alygs;|JM2C>_&Ly+|%amL^%7Alygs; z|MunOzH@dj=bkoiC*r%`y}g%nPn)+B;q6CK&OI%D?nK7V=YAv|Zr;=8?L;{Hk(6^! zo3|6;>_<}0J#F4jgtH$>Irp@AI}y%)B<0-G=Iul{`;nA$Pn)+B;p|6J&OL43PK2`` zNjdklc{>r#ekA4G)8_3&IQx;5b5EPM6XEPfQqDbX-cE$GA4xg)w0S!b&VD52+|%am zL^%7Alygs;w-e#)M^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L;{Hk(6^!o3|6;>_<}0 zJ#GG<|KoD@BfN6%Y4dg>oc&13xu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1?rHOX z@bYr@BfN6%Y4dg>oc&13xu?zBiE#EKDd(OxZzsapkEEP?+Ps|zXFrm1?rHOOBAoq5 z%DJb_+lg@YBPr*eHg6}w*^i{0d)mC62xmW%a_(vKb|RepNXogV&D)7^_9H3ho;GhM z!r70coO{~5od{r#ekA4G)8_3&IQx;5b5EPM6XEPfQqDbX-cE%3_@C|N+|%am zM0op=lygs;w-e#)M^er`ZQf3VvmZ%0_q2IC5zc-j<=oTe?L;{Hk(6^!o3|6;>_<}0 zJ#F4jgtH$>Irp@AI}y%)B<0-G=Iul{`;nA$Pn)+B;p|6J&OL43PK2``Njdklc{>r# zekA4G)8_3&IQx;5b5EPM6XEPfQqDbX-cE$GA4xg)w0S!b&VD52+|%Oce&l!l)!L7w z@BOW{A4$jkUjO~~+=+1C^A2z*_riEjNSlAryTNewBPr*eHg6}w*^i{0d)oXw-fPQ`;nA$Pn$n^ zbGe^9zn61Qo3|73UHg%gb5EPM6XAaP`d)7CN)Bz_PK5v2b9;IBw0S!b-hL$I=C0(> z=Iul{`;nA)Pn)+B;eLK@FE@83hc<5~!vEsVUfw-z{+CC~*^T%;b4N0~JAe53J^%Nw zod{$}?rHOOBED-sl5*~8^L8TK+i&dU z+|%aYab-EX5x++{_q2IC5$>IL_HyoN^Y1!Z&Tho-QO-SW-cE#j_uakR+?5>KyqyUD zo~wKLxhpxec{>r_ekA4RuH?|>-+R2A-3YI|d)oZ_E-hy_!Yk*VHh=D9x%c1N%ekk` z+llz@2hQ*1=C0(>=Iumy`;nA)Pn-YX_2oWvc`xUlHviz+zWd=DdpY;C`A4oS_vpF3oO{~5orv#0c4sf=o;H8}Xt~EP z?&aLm=ASsT+!J^Aa_(vKPu^V4Zp42M<=oTe?L;{Hk(6^!n}6zfxu>t~<=oTe?L>Un zekA4G)8?N!S?(jZ_HyoN@pC`&o=IuoIkBz_HDes;( zZzsZC9e=%3&OL43PK2``Njdkl`9D3soZSeooO{~5oe1~w>wCGmD>=0JKfk=(U!2{` zyQj_DiTM7rxA$`HY4dg>{3kB#<=oTe?L_#${D-}qd)oXbZ!GtzD|KzW?Rtm;1`~y}Wzc{9j#O?%LVCoO{~5orpiT{Yc8qUCE)%+lg?0ePJ&@cO{25 zZzsarkEFbN+Wc25B_x$T;C&Jl}q}<$<9NNBbC&Jl}r2O2K9NN5{ z2=}!!dwKV?c{>r_ekA4QuH?|>?L@f0`Tf28+?5>KyqyUDx5s;V_q2IC5&rKk?d9Cl z=D+^Ha&{wrk8KyqySVKa%ouS8{0cb|RepNXpM$$)U~LiE!V%xtE{2l0%!f6XESgQr<}zI}y%)B<1I>6B$3B z`;m0`wJSNac{>r#ekA4RuH?|>?L;{Hk(8gil0%!f6XEPfQhx4A4sG5}gtH$>`ME1O zw0S!b&VD52-P7jnL^%7Alygs;w-e#)M^er`ZQf3VvmZ&hxhpxec{>r#ekA4H)8_3& zIQx;5o4b-jo3|6;>_<}GJ#F4jgtH$>xw$Jjw0S!b&VD52=dR??=Iul{`;nBNyOKkj zw-e#)M^fHBZQf3VvmZ%0_q2IC5$>7)u$OaBoBzlsm$M)7d*+U0cz6Er^LzdK!`z8* zb|WeG&;N0GZQr*O;p|6J-aT#JPK2``Nx8WzIkb5@5zc-j<>#*C(B|z#IQx;5cTbzQ z6XEPeQf}@_4sG5}gtH$>dH1w=I}y%)B<1F=s#B(B|z#IQx;5cTbzQ6XEPfQf}@_4sG5}gtH$>dH1w=I}y%) zB<1F=y!}YZ&0Wc%&D)7^_9H3po;GhM!r70c+}xEM+Ps|z zXFrnib60X`^L8Sf{Yc8sUCE)%+lg@YBPs8mHg6}w*^i{0d)mC62xmW%a_(vKb|Rep zNXogV&D)7^_9H3ho;GhM!r70c+}xEM+Ps|zXFrni?rHOOBAoq5%FSKLq0QTgaP}i9 zKX)aEHg6}w*^i{Wd)mC62xmW%a&uR5X!CX=oc&13yQj_DiE#EKDd(OxZzsapkEGn( zl^oiBYux^?rHOOBAoq5%DJb_+lg@YBPr*eHg6}w*^i{0 zd)mC62xmW%a_(vKb|RepNXogV&D)7^_9H3ho;Lr+v&-3y@XEQT&Hw7n$q-(23yxu?zBiTJMlNXogV&D)7^_9H3ho;GhM!r70coO{~5od{y!}YZxu?zBiEwXy zV=w2PHg6}w+mEE2d)mC62xmW%a_(vKb|RepNXogV&D)7^_9H3ho;GhM!oBVJy_|d6 zyqySdKaz6pY4dg>oc&13xu?zFe|xzHp4-d0r_I}m_^$m(%DJb_+lg>*zp{ClqM<=oTe z?L>I{k(6^!n}6@|a&{xUa_(vK@4K{|-3YIod)oZDljYujYcJ=XHg6~5yB|2emvc{> zw-e#*M^er`ZT^GTm;2D=y_|d6{DWtgd+_zWoO{~5orpiT{Yc8Wr_DcfVY!Fz+snD9 z&D)9i?uT#e<=oTeAGxyJqv!T=?rHOOBEJ9FoxPlU+Wh&Wf8xw?Pu$(h zxu?xPd2>0t5&t=qb5EPM6XEPfQqDbX{;A{Tp1!u1b5EPM6Y*X9k(6^!n}6nHxsTl1 z%ekk;&-b%;KeXP@w*Ke!e)jJ4q5gixc|Y4a+zYqI|C|>8;)UhBpYgN9&3jtBcQVfV z+1BCaJuUvFE6aI5<7bDP_q2HLWSsZ2t;5ZGTKvmL%XvTJXNQ~jw0Q4iocFV>!_9kI zymvCr``Omv<~=RmI~nKwZ0m6Io)-Vg@p9hJ_}Ss+JuUv#OUrpT<7bDP_q6!ePL}h2 z#?KBn?`iSg$vE$4TZfzXwD^-Zm-Bwc&ki^5Y4P64IPYg$hnx4bc<*GK_p`0T&3jtB zcQVfV+1BCaJuTil8Rz|M>u~d)7Vn*m^M1BD_fE!nKifLoyr;!`C*!=IZ5?jj z)8f68ao)|g4ma;<@!rWe?`K!_9kIymvCr``Omv<~=RmI~nKwZ0m6Io)+(&jPriBb+~y?i@*Q& za^BDQ+2Q6rE#5mB=lyK!aPyuP@12bEeztYEc~6V?PR4mR+dAC5r^S0GpB--A)8f68ao*3i4ma;<@ef^C?&14Rb+~y?i}z0EyWY>X4ma;< z@sC_t?$L9nI^4Xc#d|07UGHaGhnx4b`1420J$~_2hnx4b_$SUR=l#t0XNQ~jwD>1) zF6Z5hpB--A)8f68ao*3i4ma;<@lPEu_w=<>9d6#!;=PmkuJ^O8!_9kI{4*!Zc|YT4 zhnx5G-hRHHz3YEp?`P@RKUwc*>A2tPpWo&?8Rz{hWYj91P*ZT_{B<-D8m%DJb_dne<( zpQW68+Wg6z%Xv5Bm2*#<_fE!nKTA3Hw0ZAjocFVob5EQ1PR4mZOF8$ndGBPL_p_99 zPn-8n#(6(WIrp@A?_`|!vy^jBoA*w}c|S`z_q2KMWSsZ2lygs;_fE!nKTA3Hw0ZAj zocFVob5EQ1PR4mZOF8$ndGBPL_p_99Pn-8n#(6(WIrp@A?_`|!vy^jBoA*w}c|S`z z_q2KMWSsZ2lygs;_fE!nKTA3Hw0ZAjocFVob5EQ1PR4mZOF8$ndGBPL_p_99Pn-8n z#(6(WIrp@A?_`|!vy^jBoA*w}c|S`z_q6!=J~n=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6& z)8@UCao*2T&OL43I~nKwEalwO=Dm|~-p^9bJ#GH}+sk=3~h}Cc;(#F=Dm|~-p^9bJ#GG>3(Gxx-(Jo=ZQeVX z?|MH=Irp^rN3JaQ=()X|d)mBrGT-%nmU8ZC^XHG2d;H>F&OL4Zi8IT2H}iev+|%Zt zyt$lrGhR9Ow0ZAjocFVob5EOp>Ug=QukGdB)8@UC`L6e~lygs;f97O4?`FJm?rHJ! z{p_9pWWAqlePz9$y)*qM{r!ydeztYE7jBRLIW7Lh3(I*o<7bDP_q2HLWSsZ2t;5ZG zTKr2_mh*1L&ki^5Y4P64IPYg$hnx4b_?M5C^KQn^4ma;<@!rWe?`Ku~d)7Ju^Qa^B7O+2Q6rE#5mB=lyK!aPyuP@12bEeztYEc~6V?PR4mZ z+dAC5r^S0G!_9kIymvCr``Omv<~=RmI~nKwZ0m6I zo)+(&jPriBb+~y?i}y~(c|Y4a+`Om7dne<(pKTp(-qYf}lX2e9whlM%Y4P64IPYg$ zhnx4bc<*GK_p`0T&3k%pKi|oQo9|~^Us>;Gu~d)7Vn*m^M1BD_fE!n zKifLoyr;!`C*!=IZ5?jj)8f68ao*3i4ma;<@!rWe?`K^oOd&R zcDQ*@i}y~(c|Y4a+`Om7KXts^)7MUQxOq>D_fF=!-p{rUH}7fj&zvmh-He|dZr;;- z`}uzMjxVkEv-H3DZ`b=-I_~%S=ePMz#(6(WxfgD~<*~H+7cVU5-HcbxJ#F4Q8Rz{h z<=oTeU%IlKcQal&_q2KMWSsZ2lygs;fB9%R?`FJm?rHPh$vE$4Dd(Ox@12ahdv!18 zo;L5DjQ4()a_(vKuN*Ju-HcbxJ#GHgOUrpT=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6&)8@UC zao*2T&OL43I~nKwEalwO=Dm|~-p^9bJ#F4Q8Rz{h<=oTey_0d?&r;4kZQeT>=lv|@ z+|%a0lX2e9QqDbX-a8rR{Ve6&)8@UCao*2T&OL43I~nKwEalwO=Dm|~-p^9bJ#F4Q z8Rz{h<=oTey_0d?&r;4kZQeT>=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6&)8@UCao*2T z&OL43I~nKwEalwO=Dm|~-p^9bJuQB|kBy(t_p@}kc~6`7KE`=JOF8$ndGBPL_p_99 zPn-8n#(6(WIrp@A?_`|!vy^jBoA*w}c|S`z_q2KMWSsZ2lygs;_fE!nKTA3Hw0ZAj zocFVob5EQ1PR4mZOF8$ndGBPL_p_99Pn*C0_Hy3Mc;(#F=Dm|~-p^9bJ#F4Q8Rz{h z<=oTey_0d?&r;4kZQeT>=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6&)8@UCao*2T&OL43 zI~nKwEalwO=Dm|~-p^9bJ#F4Q8Rz{h<=oTey_0d?&r;4kZQeT>=lv|@+|%a0lX2e9 zQqDbX-a8rR{Ve6&)8-#MyPS73UOD%)dGBPL_p_99Pn&<}!g3Gax0iEIoA*xUyWY=I z&OL4Zkt@qRdTuZ0o;L5D%y+$?rJQ@({Q0Bh9>2Jkb5EOp;>>d1&3s=u_q6#ZZ!YKE zj91P*ZQeT>=lv|@+|%ZtI$rMSYkN8Ow0ZAjzU%!g<=oTepE+61yBV*Xds_T_Kl`o! zcfFsbZ~xAEKTF5GzMpa4&r=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6&)8@UCao*2T&OL43I~nKwEalwO=Dm|~ z-p^9bJ#F4Q8Rz{h<=oTe@4vmAcQal&_q2KMWSsZ2lygs;_fE!nKTA3Hw0ZAjocFVo zb5EQ1PR4mZOF8$ndGBPL_p_99Pn-8n#(6(WIrp@A?_`|!vy^jBoA*w}c|S`z_q2KM zWSsZ2lygs;_fE!nKTA3Hw0ZAjocFVob5EQ1PR4mZOF8$ndGBPL_p_99Pn-8n#(6(W zIrp^r2hT3&-HcbxJ#F4Q8Rz{h<=oTeAG)yI!}smw+|%a0lliXqvy^jBn}6iWa*v+d z%ekk`dnfZhumc{k&gb5EQ1PR4mZ zOF8$n`KOMTd-~d5&OL43JDKl#KTA3HwE1UFmh*1LE9agTKi|*(>;G}RpQXR`yX*Zd z9ryZv#(6(WxfgDaKhL4fzj$Fe?`HgbM;p$aKm7cj-}g?&c|S`z_q2Wgr7O#MH{+Fa zPn-8n#(6(WIrp^rmyee7ZpJI;o;L5DjPrh$a_(vK-pRPTSNC%BUG323y_50Y&r;q! zZT^+x<-D8m%DJb_zj|po?`HgbM;qRqKm7cjfB(F8vYdA_UOD%)ecwA7=lv|@+|%Yy z-dxVR8Lyms+Prr%&ih%)xu?y0C*!=IrQCd1JG6Q4WSsZ2ly^^?_fE!nKTEm!u6Ahi z-pM%cXDRQVHt(H`^M00c^Ih%G=Dm|~-p^9rJ#F4Q8Rz{h<=oTey_0d?&r)u_s~y_B zcQVfVS<26MwL_ctPR4mZOL_OSdGBPL_p_9n?`nrO@12bEewOm?Y4hI6IPYgEH{aC` zZQeT>=lv|@-P7j1lX2e9QqDbX-a8rR{Ve6&)8@UCao*2TZoaD>+Prr%&ih%)yQj^2 zC*!=IrQCd1JG6Q4WSsZ2ly^^?_fE!nKTEm!u6Ahi-pM%cXDL75)ebFwzLSlg&-b%* z`1P)KX!G95IPYgE@18dAos9E-mU8o5?a=1ElX2e9Qr+Wh^um-BAM&v&%p-2I-z&+qlmU-O-e^M00c^Ih%G_I>YUocFVocTb!5 zPR4mZOF8$ndGBPL_p_99Pn-8n#(6(WIrp@A?_`|!vy_|fYKJ!Oos9E-mh$sm?a=1E zlX2e9QhvUx9ooEiGS2&1%Dbn{dne<(pQW68+Prr%&ih%)xu?y0C*!=IrQCd1JG6Q4 zWSsZ2l%MZvhc@q>jPrh$^6qK#51w7lyBR;<(S~>D4?n->|NHe$#(6(WIrp@E|Dg-Z zJ$&C@&OL43JDKl#KTA3HwE0J_EcfWSy_|d6ymvC+^?sIe^Ih%G=FcB3_xQ!VynEXG z6K9t5Zsz;*9c_4b{_yjA{`GtE=5pT6`1y`DoI8K``8~aNGS2&1%FTDRL&yD|{;A{T zp1!u1cTb!5PUgGb&r;4kZT^{)<-D8m^Brw?cmDA6d;R(6``P{9U+-t>17Bb7XX&`# z)4y>0Est^D&r;4kZT`gz%Xv5Bm2*#<_fE!nKTA3HwE35=Ea%;fSI#|c-a8rR{Ve6& z)8=13TF$!}ubg|@ymvCr`&r7lr_FmO=lv|@+|%a0 zlX2e9QqDbX-a8rR{Ve6&)8@UCao*2T&OL43I~nKwEalwO=Dm|~-p^9bJ#F4Q8Rz{h z<=oTe@4vmAcQal&_q2KMWSsZ2lygs;_fE!nKTA3Hw0ZAjocFVob5EQ1PR4mZOF8$n zdGBPL_p_99Pn-8n#(6(WIrp@A?_`|!vy^jBoA*w}c|S`z_q2KMWSsZ2lygs;f8V9$ zyr1#Pxu?y0C*!=IrJQ@(ymvCr`&r7lr_FmOJ_Hidr$4aPtW+YEaOrADB~rR{HKba&`f!;_ zq;xg7Of|SPLZF~R2nhz4yGrcDNp=!P-idP`*ZrLDyP7$xAM1KJbPFWGaIWk1`#IYD z$BXUkX8i1E%enK%_dDOWlX3R5lygtp`=2hivzzhCxu?zB$vFF2%DJb_f4?rKTA3Hw0S!jXFp51+0~9VZztpIXDL6s+R^6iWSsph<=xZf?PQ$&EahfbJKDUR zjI*DmynEWbos6@erQGalN1L~karU#6cTbzQlX3R5lygs;x07-9vy^jBo41p3_Oq0m zUF~S|b~4U>mh$dt^L8@MewK2xs~v6LPR7~KQrjr8D~FBdH1w=I~iv`OS#$Ajy7*6? z(dO-Boc%22-P7jnWSsph>}p4wx07-9vy`7*?P&9MGR}UM^6qK# zb~4U>mU6SJ9c})>^>%hMes;9w-2FPo_q%@nnw^ZZpQYUFYDe4qb~4U>mh$dt^L8@M zewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU6SJ9c|uD#@WwOes;B^&D+U1`&r7* zu6DF}I~iv`OL_OSc{>?rH%mG9w0S!jXFp3h_q2IC8D~FBx!Ki@Hg6~6>}M%IyV}v_ z?PQ$YEalzP<{v-Y&Thufj<&oze|*35->;pFv!A7$d)nTAa z`MrL>o!yL|9c?*x{`h{Ux07-9vy_`%?dZDS>3_c2?w8-r<=xZf?PT7ypQW68+WfD# z+u60hOQqDbX{^P}Vb~9c% z_q2IC8D~FBIrp^rPnX--&3NV9)8_4Doc%22+|%YiUu|bMmU8ZC z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71 z?rHOOGR}UMa_(vK53aYfoAJuIr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1 z`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zl z;q7+zGhR9Ow0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n`9J=7JNp^0oO{~*YX z&3NV9)8_4Doc%22+|%ZtTx|FB-npE6+Pt02yY{n`b5EOpcDdd2N9S_xY4dh6@7m8& z&OL4Z#npB%-<-?2r_H~*zn$I8`^vee&A)!Xo!yLA&OL43PR7~KQqDbX{^y(Re);WO z&OL43PUc2h;Vi?@?;_Onx$n|oTkos9eP?sr{o?rHIMGTwf6>T+{Wi~oAFo!yL|E;skI_-}8w zvzzhL<>sCi|NVA5yBR-SZtiLEb~4U>cIt9-Pm90(v7OzFpDs7|w0JuiXFof2xw)ss z+sQcl*{RFTJuTi&#@WwKU2g7a@pdxKes=0|b5D!6lX3R5QcIt9-Pm8ycarU!Qmz#T9yq%1*pPjng+|%OiWSsr%)aB-$7H=ox>}RJgH}|x7 zI~iv`J9W9ar^VaJIQ!YD%gsG4-cH8Z&rV%#?rHIMGR}T>>T+{Wi?@?;_Onx$n|oTk zos6@eox0rI)8g%9oc-+7<>sE=?Pn)jZuYZN|7!1N>*uF@zw7^B&Q8YJ&rV&=e#TGB z`*t$Ues=0|b5D!6lX3R5QcIt9-Pm6zWy`9~RpDs7| zw0JuiXFof2xw)ss+sQcl*{RFTJuTi&#@WwKU2g7a@pdxKes=0|b5D!6lX3R5QcIt9-Pm8ycarU!Qmz#T9yq%1*o1MDc+|%M8Kitl4#!r`< zds@7mjI*Dey4>8;;-6e>_w?THy4>8;;_YPKwV$23+}zXRpIvVE{L$~a+}zXR?PT7y zpPjng+|%M;Ty6LA&F{M0+|%M;-QUh`=Kbk%b5DzZ{eC;U89!Za?rHIMGR}T>>T+{W zi~sp%yI+3$U6-4CTD+aiyY{nFmz#T9{I9p$+0FRra&u4b_OqY;r~hQ{XX#)3gT0@n z>weeIZ?lte_Oq1xaQ)Mt(&j&2Y-cy)m2*#$98rzUOD%)c{>?rKTA3Hw0S!j zXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@A zI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3H zw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FB zIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp^q*~ixR+0WAD=AJfh zALHz2Dd(OxZztpIXDR2NHg6~6>}M(Go;GhM}M(Go;GhM-SbE1a_(vKb~5kU&r;4kZT`j8b}!$Y%ekk`zq-Gj-OT&Sxu?y)e!rdF zj91P*ZQf4C+0RnWJ#GHyo9%x2?Oe`1ZQf4iUHe(exu?zldb^$7j91P*Eq?a1zwt6RW&VH71AFhA;Q`-E;i|y=YymIbo^L8@MewK3XY4e{hx3in^%DJb_ z+sQclS<1Pm&40ey&Thsl=bkoiC*$mADd(OxZztovygQe3Pn)-s@%FQnb5EQ9db6F~ zj91P*ZT{Qa?d)c}a_(vK-*30GoAJuIr_I~RIQv=3xu?zF{@BiL#w+KZHg6~6>}M(G zo;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM zx089-ewK3XY4gu6w|oBRT+Tgh-cIIS`&r7lr_H~(+V16>b2<04`B(S1vzvKeIrp^r z*YCHpoAJuIr_I~RIQv=3xu?zle6!szzn#mur_I~RylX#8Irp^rUvIawoAJuIr^U~H z_MiO2y`QCj=hfcN(si%<8D~FBxewRt_j9!Qj~Cn7&G^~TmUHKi?{~g$C*$mADd(QH z_di{3XE)=Ob5EPMlX3R5lygs;|9rKb-HcbxJ#F4j#@WwO&OL43PR4zCcP=-(+R^6i zWW4<><=xZfzus(TH{+FaPn-Ytc00QnKRep;?)>rn&c8q3Z@06X@yfZU?R`5LXFp3h z_q6%jAKTf@c;(#F=Ivyh{Ve6&)8_4Doc%22W>-7fyq%1*pQXHe+Ps~Nv!A8h>}p4w zx07-9vy^vFo41p3_Oq0mUF~S|b~4U>mh$dt^L8@MewK3XY4dh6&VH71v#T9#-cH8Z z&r*JNwWH13$vFF2%Dbn{+sQclS<20>cC>jr8D~FBdH1w=I~iv`OS#$Ajy7*6}M%AyV}v_?PQ$&EalzP=Ivyh{Ve5XS3BCg zos6@erM!FEyq%1*pQYUFYDb&5lX3R5l%HMgXz{a?t?#p+rOWSK?P&9MGR}UM^6qK# zb~4U>mU6SJ9c|uD#@WwO-aT#JPR7~KQf_v&qs`mNIQv=3yQj_D$vFF2%FV8Jw0S!j zXFp5%+0~9VZztpIXDL6s+R^6iWSsph<=xZf?PQ$&EahfbJKFq%>+S4j{OoAUx%+jF z?|1$DH9Hw+KTEmU)sD9J?PQ$&EalzP=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe z?PQ$&EahfbJKDURjI*Dm{OoE+o41p3_Oq0qUF~S|b~4U>mh$dt^L8@MewK3XY4dh6 z&VH71?rHOOGR}UMa0hOQqDbX{^P}Vb~9c%_q2IC8D~FBIrp^rPnX--&3NV9)8_4D zoc%22+|%YiUu|bMmU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UM za_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vK53aYfoAJuIr_I~R zIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_ z+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zl;q7+zGhR9Ow0S!jXFp3h_q2IC8D~FB zIrp@AI~iv`OF8$n`9J=7JNp^0oO{~*YX&3NV9)8_4Doc%22+|%ZtTx|FB-npE6 z+Pt02yY{n`b5EOpcDdd2N9S_xY4dh6@7m8&&OL4Z#npB%-<-?2r_H~*zn$I8`^vee z&A)!Xo!yLA&OL43PR7~KQqDbX{^y(Re);WO&OL43PUc-GCN+Wg0h?d)d!>}bom^T+o)-?x)-_Oq08 zPuu&SF1NFr@yfZU&D+U1`&r7lr_F!9+RkpqE9agzZztpIXDR2NHg6~6zPvk^n_cZ_ z^L8@cewOm?Y4cxiwzHe@%DJb_e|x)~-He|dZFzV8_}I@j?rHOOGR}UMa_(vKb~4U>mU6SJ9c|uD#@WwO-aT#JPR7~KQf_v& zqs`mNIQv=3yQj_D$vFF2%FV8Jw0S!jXFp4M_q2IC8D~FBIrp@AI~iv`OS#$Ajy7*6 z-7fyq%1*pQXHe+Ps~Nv!A8h>}p4wx07-9 zvy^vFo41p3_Oq08Pn)-sarU#6b5EPMlX3R5l$%}cX!CY5&VH8i?rHOOGR}UMa?rKTCP{ zw0S!jXFp51+0~9VZztpIXDRQVHg6~6>}M%AyV}v_?PQ$&EalzP=Ivyh{Ve5XS3BCg zos6@erTpw_N1L~karU#6pIz-}^L8@MewOm?Y4dh6&VH71v#T9#{=xNjb~Ao-0Be*T)BjI*Dm-0W&c+xvDh&VH8i?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3X zY4dh6&VH71v#T9#-cH8Z&r*JNwWH13$vFF2%FnKLw0S!jXFp4M_q2IC8D}?3Irp@A zI~iv`OF8$nc{>?rKTEmU)s8lAC*$mADL=c~(dO-BoZT$t-P7hDKitl4#?OwnygPq< zzw_U(os6@erJQ@(-hXnj-P3#La_(vKb~5kU&r;4kZT{KicF!N3%ekk`+sV9ZKTEmU z)s8m*;%d8>Z_ef2)8=2@-_CC4{n^o$cju4qcmDake!rdFjGrBCId}f}ey6vSarU#6 zn_cbby5H%4zS-`V-_GUT)8_4D-nE~loO{~*ueaOT&G^~TmUrim?|1$FXFvN7|M}j} z(!ceO_kNbH`}M(Go;LsSVmrGTubg|@yq%1*pQW68+We=>?d)c}a_(vK zb~4U>mU8ZC^PjJ_vzzhCxu?zB$vFF2%DJb_+sU{u@6P4i)8_4Dy!|ZY+|%a2-fU+# z?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?r zKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3HwD||u+u6-{<=oTe z?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6& z)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Kt_^JNp^0oO{~5os6@erJQ@(yq%1* zpQW68+Ps~Nv!A7$d)oXT|Gb_3j91P*ZT|7Y?d)c}a_(vKb~4U>mU8ZC^G`0edwTC& z&OL43PUc}M(Go;LsU&33>1b}r|hHg6~MuKg_K+|%ZNz1_}k#w+KZ z7C-yhy(fD=JN2*kes(W?()TmYes=0|AFkJbr^SD~*v@XoPnVl}TD+Z%v!9*1+}zXR zKV5ETH{++v%{?vNPR7~KPF-&9Y4M-0wzHe@)8*!#7H=ox>}RJgH}|x7I~n)o-S4{G z+|%OiWW4?C)aB-$7XS5TJG&V_U2g7a@!#HVXE)=g%gsG4{`>8Ab~Apu+}zXR?PQ$& z?9}Dvo)&-mV>`PUKV5F_Y4LV4&VF|4a&u3Mx07-9vs0Iwds@7mjI*Dey4>8;;_YOd z{p{4`=AIUBC*$mAr!F`5w0JuiXFof2xw)ss+sQcl*{RFTJuTi&#@WwKU2g7a@pdxK zes=0|b5D!6lX3R5QcIt9-Pm8ycarU!Qmz#T9yq%1* zpPjng+|%OiWSsr%)aB-$7H=ox>}RJgH}|x7I~iv`J9W9ar^VaJIQ!YD%gsH#+s{t6 z-0Wwk{`KC^*3VD*e%JrMoSlrbpPjm#{fwWM_w8hy{p{4`=AIUBC*$mAr!F`5w0Jui zXFof2xw)ss+sQcl*{RFTJuTi&#@WwKU2g7a@pdxKes=0|b5D!6lX3R5Q8;;_YOd{p{4`=AIUBC*$mAr!F`5w0JuiXFof2xw)ss+sQcl*{RFTJuTi& z#@WwKU2g7a@pdxKes=0|b5D!6lW}&lQ zE?xu?ZHez=|8jGrzy_q2FB8D~E`b-B5x#Xq^&?&-bXb-B5x z#oNieYd<@6xw)ssKfB!S`J>-;xw)ss+sV9ZKRb1~xu?ayxZ3XJo8NW0xu?ayy1$*> z%=^>j=AIV+`u%oxGk&_<+|%OiWSsr%)aB-$7XS0jcE9}gyDm5Pw0Jw2ckO4VE;skI z_+M|gvzzhL<>sE=?Povx>A&CmS^6LRH+w%z*Zr=a-)1M{>}M(W;rgdPrOki5*v@Xo zE9agzZztpIXDR2NHvj2zJG&XLoO{~5os6@erJQ@({O7Cf>}I@j?rHOOGR}UMa_(vK zb~5hEyK_1Bw0S!jZ$C>p_q6%1H{03Gc;(#F=D)q&&Thsl=bkqI{dPOM8Lyms+Ps~N zv!A7$d)oZ%kL~PcymIbo^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71 z?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U> zmU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6 z&VH71?rHOOGR}UMa_(vIvyZLsv!A8Q%{^`2KE~P4QqDbX-cH8Z&r;4kZQf4C+0RnW zJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~K zQqDbX-cH8Z&r;4kZT`Xac6KvfIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3HwE4#mx3in^ z%DJb_+sQclS<1Pm%|E%=?&-aAIrp@AJDGRwXDR2NHvjB$yXTM2<=oTe?PT7ypQW68 z+Wd>F?Owh)mvc{>e|3L5yP5Zub5EOp{eC;U8Lyms+Ps~Nv!A7$d)oZZH{1R4+qs;3 z+Pt02yY{n`b5EQ9^>#bE8LymsTD<-2`M>{*+0V}XI{Vr4f1mzE-Ot9Y{p{T3K3uQ= zPK*C|vEACu#!r`jA=AIV+{dT*xn~k3?H}|yowUdoo``Nk6%{?vt_Q!T>Hyb}) zZtiLEYbP7G_Oo-Bn|oUP+R4VP{p{T3=AIV6cCvA6KRb81xu?aioow9N&(2+L?rHIB zCmXl+vvZf5ds_V3$;Pey?A+z%o)*7$vTsCizjm^5Yd<@8xw)ssubph%+Rx5iZtiLEYbP7G_Oo-Bn|oUP+R4VP z{p{T3=AIV6cCvA6KRb81xu?aioow9N&(2+L?rHIBCmXl+vvZf5ds_V3$;Pey?A+z% zo)*7$vTPm5nW z*|@czox9xJ)8f}oHg4@_=Poz*wD`4?ja&QKxy#KxEq?7}sCizjm^5Yd<@8xw)ssubph%+Rx5iZtiLEYbP7G_Oo-Bn|oUP+R4VP z{p{T3=AIV6cCvA6KRb81xu?aioow9N&(2+L?rHIBCmXl+vvZf5ds_V3$;Pey?A+z% zo)*7$vThxZT>##!r`2b~)AO|X zj~Cn7&3NV9)8_4Doc%22+|%YiU2bPL}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(G zo;GhM}M(Go;GhM~9>o;GhM^RE3Y<=oTepIvVE{L#6b zd)mC6%)9oplygs;e{r?l%Qxq8?rHO{?r&!|^S*NKY4fk&Z)Z2-m2*#x089-ewK3XY4g9{Zf7^+m2*#vpZ)B|f4%p!^xyp#dp}Fpz3yk6 z{Ve4^T(95H(dIv1Y-cy)XGdGkoj<UOD%)c{>?rKTA3Hw0S!j_vPKW-0W&co41qk_Oq0CPn-XGvz^_HSI#|c z{@dH_>}LGzXv@3v$M-w`{(QgP&Thsl=bpCr?PQ$&EalwO=5K#&XE)=Ob5EPMlX3R5 zlygs;x07-9vy_`%?P&9MGR}UM^6qK#b~4U>mU6SJ9c|uD#@WwO-aT#JPR7~KQf_v& zqs`mNIQv=3yQj_D$vFF2%DJb_+sQclS<20>cC>jr8D~FB`PtQuHg6~6>}M(Oo;GhM z-7fyq%1*pQXHe+Ps~Nv!A7$d)mC6jI*Dm zoO{~5os6@erQGalN1L~karU#6cTbzQlX3R5l$%}cX!CY5&VH8i?rHOOGR}UMa?rKTCP{ zw0S!jXFp51+0~9VZztpIXDRQVHg6~6>}M%AyV}v_?PQ$&EahicJKDURjI*Dm{OoE+ zo41p3_Oq0CPn)-sarU#6n_cZ_^AE1Kvzzg=qb=v|*Ezo5_4C*4WSsphx089-ewK3XY4gu6w|oBRT+Tgh-cIIS`&r7(u6DHf7gyW8d~+`Eo;LsL{&sdV z@6V35ygPq2Zf7^+m2*#Zo!yLA&OL43 zPR7~KQqDbX-cH7Sd3P@7o;GhM}M(Go;GhM z}M(Go;GhM=bkqI>+N=SGhR9OwD{T2{_p>C?`P@1{abrKOV_>b zXPo^k?rKTG-9)s8lAC*$mADes;( zZztpIXDK(k+R^6iWSsph<=xZf?PQ$&EahfbJKDURjI*DmynEWbos6@erJQ@(yq%1* zpQW68+Ps~Nv!A8h>}p4wx07-9vy^vFo41p3_Oq0mUF~S|b~4U>mh$dt^L8@MewK2x zs~v6LPR7~KQhs)|qs7low!Y7PmM*_{wWH13$vFF2%Dbn{+sQclS<20>cC>jr8D~FB zdH1w=I~iv`OS#$Ajy7*6?(dO-Boc%22XIDGgyq%1*pQZfl zYDb&5lX3R5ly^^?x07-9vy_`%?P&84uD7$B@w1~X=kC`zzTfrp*X(4R{Ve5XS3BC? zx07-9vy^vFo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy_`%?P&9MGR}UM z^0TWQZQf4C+0RmbcD19;+sQclS<1Vo&D+U1yIIP)r_I~RIQv=3xu?zB$vFF2%FV8J zw0S!jXFp5%+0~9VZztpIW-0HUHvjnHc6Kv}bom^T+o)y`7A+pQYUFYDd@oPXF`GcE9{~F7KW; zZzuDv{Ve6&)8>D@-Og^t&yKddJAZt?>-RtV+5h>^_I{TBU;k+DXX(1%=|5cm^qjMw zrJQ@({Kt#!>}I@j?rHOOGR}UMa_(vKpDwqvoAJuIr_I~RIQv=3xu?y4zS_=i#w+KZ zHg6~6>}M(Go;GhMx0CVqvy^jBoBw*Vo!yLA&OL4Z+uQBzX1sFlY4hK2 zx3in^%DJb_+sQclS<1Pm&ENjm&Thsl=bkoiC*$mADd(OxZztpIXDR2NHg6~6>}M(G zo;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go)$kl+4?^FS-RZZ)8_4Doc%22+|%amWSsph z<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh z{Ve6&)8_4Doc%22+|%amWSsph<=oTeA6#!|H{+FaPn)-sarU#6b5EPMlX3R5lygs; zx07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jBo41p3_Oq08 zPn)-sarU#6b5EQ9!`tobXS{OmY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^MCyF zcJ?z~Irp^r#}Bu&oAJuIr_I~RIQv=3xu?xPx!CUMy>mJDw0S$3ckO2>=bkqI>~g#3 zkIv=X)8_4D-nE~loO{~*i>vKkzB!k3Pn&;re>=OG_my)`n}7X&JG&XLoO{~5os6@e zrJQ@({LeSr{qoznoO{~5oy@!Tvy^jBoB#E8JG&XLoO@dQ>}P-dmwP`u^{@7R_SflO z_Wg{rpPjnghwJs}RJgH}|yoPnX--&G_kZb5D!6lX3R5 zQ%{?vNPR4zC_q#4P_q2FB8E-#3b-B5x#ecoo z&Ths}mz#T9{I|E;+0FRra&u3M|9-oj-He|uH}|x7I~iv`J9W9ar^Vm?*v@XoPnVl} zTD+Z%v!9*1+}zXR?PQ$&?9}Dvo)&K>cIt9-Pm8ycarU!Qmz#T9yq%1*pPjng+|%OiWSsr%)aB-$ z7H=ox>}RJgH}|x7I~iv`J9W9ar^VaJIQ!YD%gsG4-cH8Z&rV%#?rHIMGR}T>>T+{W zi?@?;_Onx$n|oTkos6@eox0rI)8g%9oc-+7<>sCiZztpIXQwVV_q2FB8D~E`b-B5x z#oNg^``M|>%{?vNPR7~KPF-&9Y4LV4&VF|4a&u4b_Op{MH~ZPCf3^3s_48A{-}V15 zXD8$AXQwV_KjWw6eLERvKRb1~xu?b3$vFGjsmskhE#6MX+0Ra0ZtiLEb~4U>cIt9- zPm8ycarU!Qmz#T9yq%1*pPjng+|%OiWSsr%)aB-$7H=ox>}RJgH}|x7I~iv`J9W9a zr^P?G-p+2uPnVl}TD+Z%v!9*1+}zXR?PQ$&?9}Dvo)&K>cIt9-Pm8ycarU!Qmz#T9yq%1*pPjng z+|%OiWSrgX)aB-$7H=ox>}RJgH}|x7I~iv`J9W9ar^VaJIQ!YD%gsG4-cH8Z%}!lz z?rHIlA8uzi-7mlWuFK6mE#6M%UHjRo%gsG4{@2^>>}LFQxw)rz``OR_ z_kXhYv-JP?2YWwD*Zr=a-)1M{>}M(W;rgfNY4aa1wzHe@%DJb_+sQclS<1Pm&40Sw z&Thsl=bkoiC*$mADd(Ox|M_Y=yBV*Xd)mC6jI*DmoO{~5os9eP?p)42ZQf4C+s{(Y zJ#GH$&31M(UOD%)`EPHxvzzhCxu?y4zunGm#w+KZHg6~6>}M(Go;H8`V>`PUubg|@ zyq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$ zd)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@e zrJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~N zv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO@dQ z>|^Wu>}Tn6b5EPMk8$?1lygs;x07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5 zlygs;x07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jBn}2Y< zo!yLA&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX z-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnW zJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZT|7Y?d)c}a_(vKb~4U>mU8ZC^G`0e zdwTC&&OL43PUc}M(Go;LsU&33>1b}r|hHg6~MuKg_K+|%ZNz1_}k z#w+KZ7C-yhzxkWJpQZoXzu5a(y6$y9&bXPo^k?rKTG-9)s8lAC*$mADes;(ZztpIXDK(k+R^6iWSsph<=xZf?PQ$& zEahfbJKDURjI*DmynEWbos6@erJQ@(yq%1*pQW68+Ps~Nv!A8h>}p4wx07-9vy^vF zo41p3_Oq0mUF~S|b~4U>mh$dt^L8@MewK2xs~v6LPR7~KQhs)|qs7low!Y7PmM*_{ zwWH13$vFF2%Dbn{+sQclS<20>cC>jr8D~FBdH1w=I~iv`OS#$Ajy7*6?(dO-Boc%22XIDGgyq%1*pQZflYDb&5lX3R5ly^^?x07-9vy_`%?P&84 zuD7$B@w1~X=kC`zzTfrp*X(4R{Ve5XS3BC?x07-9vy^vFo41p3_Oq08Pn)-sarU#6 zb5EPMlX3R5lygs;x07-9vy_`%?P&9MGR}UM^0TWQZQf4C+0RmbcD19;+sQclS<1Vo z&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%FV8Jw0S!jXFp5%+0~9VZztpIXDRQVHvjnH zc6Kv}bom z^T+o)y`7A+pQYUFYDd@oPXF`GcE9{~F7KW;ZzuDv{Ve6&)8>D@-Og^t&yKddJAZt? z>-RtV*}wjmdp}G6mw&qVvvl3>^dGK&dd}I;QqDbX{^P}Vb~9c%_q2IC8D~FBIrp^r zPnX--&3NV9)8_4Doc%22+|%YiUu|bMmU8ZC^L8@MewK3XY4dh6 z&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vK z53aYfoAJuIr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3 zxu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zl;q7+zGhR9Ow0S!j zXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n`9J=7JNp^0oO{~*YX&3NV9)8_4Doc%22 z+|%ZtTx|FB-npE6+Pt02yY{n`b5EOpcDdd2N9S_xY4dh6@7m8&&OL4Z#npB%-<-?2 zr_H~*zn$I8`^vee&A)!Xo!yLA&OL43PR7~KQqDbX{^y(Re);WO&OL43PUcwd=B&r4ZD%**m2*#?zKTCP{wE3?$+u6-{<=oTezrEegZpP1!w!Axke82PW&-dHy z>}I@j?rD49PR7~KQqDbX{`SXqb~9c%_q2IC8D~FBIrp@AI~iv`OS#$Ajy7*6?(dO-Boc%22-P7jnWSsph}M(Oo;GhM}2cv>}Ton zdsjQ!yq%1*pQXHe+Ps~Nv!A8h>}p4wx07-9vy^vFo41p3_Oq0mUF~S|b~4U>mh$dt z^L8@MewK2xs~v6LPR7~KQhs)|qs`mNIQv=3&#rc~c{>?rKTCP{w0S!jXFp51+0~9V z|KNH%yBR+_+H&rGo#XpmKYz_m#@WwOZg#b!?R`5LXFp4M_q2IC8D~FBIrp@AI~iv` zOF8$nc{>?rKTA3Hw0S!jXFp51+0~9VZztpIXDL6s+R^6iWSsph|9tOf>HqwX_kNbH`}I@j?rHOOGR}UMa_(vKb~5hEyK_1Bw0S!j zZ$C>p_q6%1H{03Gc;(#F=D)q&&Thsl=bkqI{dPOM8Lyms+Ps~Nv!A7$d)oZ%kL~Pc zymIbo^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6 z&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vK zb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3X zY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UM za_(vIvy-jwv!A8Q%{^`2PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43 zPR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4k zZT`Xac6KvfIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv` zOF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n`9Hkf&VI%#=bkoi zC*$mADd(OxZztpIXDR2NHg6~6>}M(Go;Lr-KW}G0?n56^FHspqNs8s$4r&FUc)Bttah^HQ4%XrnnZc> zqIS;0)yfJC21X1z5C$I&1O^N`AO;=?gARg$z<|LA!s@MCVPM3dqd`dNl=J3}m936R zRhg>)NtMJ&oI2^4EOQixcIDll`+l$I;mQ9x`oh0AA3ky{0m5}JXE)=Ob5EPMlX3R5 zlygs;f9mRTPrtmEb5EPMlliXwEalwO=ASuQ&Thsl=bjcn_p|SuTKn17@2>sqJL##u zpKu~d)7JuX7a&|L*cDQ*@i?@?;_Oq?S&3jtBos4_y#XCCOyr;$6$$0zO*5T$oE&lDR z%h}EN+2Q6rE&k@Y~Qm*7XQxCa&|L*cDQ*@i?@?;_Oq?S&3jt>(e35zX8i1M z^PU!OC*$mATZfzXw0JuiXFuCI+`Om7+sQcl+1BCaJuTi&#@Wxd4ma;<@pdxKeztYE zc~6VClX3R5t;5ZGTD+Z%v!87pZr;=4?PQ$&Z0m6Io)&K>wsp99Pm8ycarU#V!_9kIyq%1*pKTp( z-qYgkWSsqM>u~d)7H=ox>}OktoAwsp99Pm8ycarU#V!_9kIyq%1*pKTp(-qYgkWSsqM z>u~d)7H=ox>}OktoAwsp99Pm6!*>T*xNd`E|y_q2FBneW=qwhlM%Y4Oh-EoV35XNQ~j z^msq_v)}vg*M64%%l~ZcXX&^f_wR3WC*$mADR=$KU8mFL-#ELR-HcbxJ#F4j#@WwO z&OL4Z&GXCI&3NV9)8_4Doc%22+|%Z7TwKm>#w+KZHg6~6>}M(Go;GhMa_(vKH_t6+H{+FaPn&<|XgRwXubg|@yq%1*pQW68+WgV& za_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71 z?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U> zmU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6 z&VH71?rHIJ9~-~V{VW}B-qYsoW1Rgg<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22 z+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$& zEalwO=I_6c*`x$3H+dAC!E8{<>#lLZOIlCD@ zJKVgd#oNg^``Omv<~=R`&GXCI&G^~j<~=RmPR7~KwhlM%Y4JBME@wC6XNQ~jw0Jui zXFuCI+`Om7+sU}MUc95j&3jtBos74iZ5?jj)8gO0x}4pNpB--A)8cQQTh4CA&ki^5 zY4PtIEoV35XNQ~jw0JuiXFuCI+`Om7AKhNgZpP0JH}7fjb~4U>wsp99Pm8ycarU#V z!_9kIyq%1*pKTp(-qYgkWSsqM>u~d)7H=ox>}OktoADx07-9v#rC;ds@7mjI*C@9d6#!;_YOd{cP)S^PU!OC*$mATZfzXw0JuiXFuCI z+`Om7+sQcl+1BCaJw4vfoou+dpKX16?PufPpYr>0@0W8YDzyHc|b~AoDx07-9 zv#rC;ds@7mjI*C@9d6#!;_YOd{cP)S^PU!OC*$mATZfzXw0JuiXFuCI+`Om7+sQcl z+1BCaJuTi&#@Wxd4ma;<@pdxKeztYEc~6VClX3R5t;5ZGTD+Z%v!87pZr;=4?PQ$& zZ0m6Io)&K>Dx0Cs<{cP)S^PU#}==tSNKX6BfoADx07-9v#rC;ds_Tc zSC@PG?rKTA3HwE3gk%h}C%<=oTe?PQ$&EalwO=Ivyh z{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%am zWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO z=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22 z+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%OcJ~n=z`&l~Nyr<3E z$2j|0%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm z&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&EJ1zIlCFJoO{~5os6@e zrJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~N zv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5 zos6@erJQ@(yq%1*pQW68+WbQ&m$RGk%DJb_+sQclS<1Pm&7V5E+{1V8<=oTe?PR`d zKTA3HwE0KRFL(NZy_|d6yq(N6}I@j z?rHOOGR}UMa_(vKH!dz`H{+FaPn)-sarU#6b5EPMlW}joxR-NJo41qk_Oq08Pn&=H z>T-57UOD%)`J3mKvzzhCxu?y)bF`e@j91P*ZQf4C+0RnWJ#GHz_HuSJUOD%)c{>?r zKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h z_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp^qxs#3G z=YEzBH}7flb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4i7AS}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;Lr`$>r>3ymIbo^L8@MewK3XY4fMfF8A== zdpY;Cc{`c!+RswXJ#GHc^UIxnU@zyMHg6~MUHe(exu?xPc5%7KpWDm1r_GH{+Fa zPuutHWSsph<=oTek8Uq#H{+FaPn)-sarU#6b5EPMlX3R5l$*QSq0QULIQv=3yQj_D z$vFF2%FSKv(B|!Aoc%22-P7jnWSsph<>sz-X!CY5&VH8i?rHOOGR}UMa_(vKb~4U> zmU44fJG6N_8D~FB`MIkd+Ps~Nv!A8Bd)mC6jI*Dm+}za;ZQf4C+0RnmJ#F4j#@WwO zZtiM_Hg6~6>}M(Oo;GhM#(;Xz_C=8^6!}EFFIB zYKJy&C*$mADes;(ZztpIXDK&#wL_b?lX3R5ly^^?x07-9vy_{=+M&(c$vFF2%Dbn{ z+sQclS<1~_?a=1!WSsph<>#(;X!CY5&VH8ib5}dGc{>?rKTCP{w0S!jXFp51xvL%8 z{QXy!vzzgAM;p%FpL6*AxPSkeI~iv`OS!qL9ooKcC*$mADes;(ZztpIXDR2NHg6~6 z>}M(Go;GhMsz-X!CY5&VH8ib5}dGc{>?rKTCP{ zwE2flE@wC6=Z-eKJAe57*nfWQWSsph<=oTu{ZnU`d-(3XoO{~5oy>RbXDR2NHvj1P zx0Cs<{Ve6?u6Ahik6m2u@#psP?rHO9?pw}o=KFI;8{VBi{C@2Jeowr* zoZXC{JKAvW{NeXwy`7A+pQYT~)ear^WBpTCmwWoa_(vK zb~4U>mU8ZC^KYJC&Thsl=bkoiC*$mADd(Oxf8*kEb~9c%_q2IC8D~FBIrp@AI~n)Z zi+efuw0S!jZ$C>p_q6%9uP$dd}M(Go;GhM}M(Go;GhM}M(Go;H8~mF4VaymIbo^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U> zmU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4aaD zx19ZqSI#|c-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL4Zlgru7 zc;(#F=Ivyh{Ve6&)8{-&f8(ZT^W@m$RGk%DJb_+sQclS<1Pm%|CT@xu;*= z%ekk`+sS;_ewK3XY4gt}M(Oo;GhM?rKTCP{w0S!jXFp51xvL%8yq%1*pQXHe+Ps~Nv!A7$d)mC6jI*DmoO{~5 zos6@erQF=r4sG5}#@WwO-aT#JPR7~KQf}^Qhc<5~sz-X#2jM zjI*DmynEWbos6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*Dm+}za;ZQf4C+0Rmb z?rMiNZztpIXDL5-wL_b?lX3R5ly^^?x07*pvy^jBo41p3_Oq08Pn)-sarU#6o4eYf z&D+U1`&r7*UG323?PQ$YEalzP<{vt_oZXC{JKFH>{NeXw|M|6(arU#6b5Gm%Pn})v z;k);8?rHOOGT*hIrJQ@({G;cWJN>|3&OL43PUgGzvy_{=+M&%qc5%7KpWDm3r_GmU44fJ9ONS^-o=0?&+8J z^6qK#b~4|!pQW68+Wa#|%h}ENxuXs5&L4h1?yrCDXTScxuKg_i7ysqj&(d)})?dGJ z*J;jvmU8ZC^KYD8&Thsl=bkoiC*$mADd(Ox|K|DS>}I@j?rHOOGR}UMa_(vKH!dz` zH{+FaPn)-sarU#6b5EPMlW}joxR-NJo41qk_Oq08Pn&=H>T-57UOD%)`J3mKvzzhC zxu?y)bF`e@j91P*ZQf4C+0RnWJ#GHz_HuSJUOD%)c{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h z_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv` zOF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp^qxs#3G=YEzBH}7flb~4U>mU8ZC z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71 z?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4i7AS}M(Go;GhM}M(Go;GhMRbXDR2NHvi1ga&|LbIrp^qxu5;oPp|!K z>+h`n?AOwt?)w>MKifLo^(*5)r^UZ}5t+0FRb z;pROp-cH8Z&$bRX?`iQjE-q&`<7bDP_q2FB8D~G+I^4Xc#oNiaw_d!X!_9kIyq%1< zpKTp(-qYgWzPg;wsp99 zPm8ycarU#V!_9kIyq%1*pKTp(-qYgkWSsqM>u~d)7H=ox>}OktoA$&z)?zxu0$Q zowc8he}BsF$Gu<9os6@eZ5_^j#?O}T+sQcl+1BCaJuTi&#@Wxd4ma;<@pdxKeztYE zc~6VClX3R5t;5ZGTD+Z%v!87pZr;=4?PQ$&Z0m6Io)&K>wsp99Pm8ycarU#V z!_9kIyq%1*pKTp(-qYgkWSsqM>u~d)7H=ox>}OktoA{N9d6#!;_YO~Qm*7XQSn%h}EN+2Q6rE#6MX+0V8PH}7fjPhDN^>6h>5aPyuPZzuCz``Omv<~=R` znWN?GX8i1M^PV2>=YICh|7PuH>Cu0@_Oo={kNfwxxs!4Bvy{7j<*w6d^KYD8&Thsl z=bkoiC*$mADd(Ox|K|DS>}I@j?rHOOGR}UMa_(vKH!dz`H{+FaPn)-sarU#6b5EPM zlW}joxR-NJo41qk_Oq08Pn&=H>T-57UOD%)`J3mKvzzhCxu?y)bF`e@j91P*ZQf4C z+0RnWJ#GHz_HuSJUOD%)c{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h z_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv` zOF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!j zXFp3h_q2IC8D~FBIrp^qxsQ$C=YEzBH}7fl_A$mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U> zmU8ZC^L8@MewK3XY4i7AS}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;Lr`$>r>3 zymIbo^L8@MewK3XY4fMfF8A==dpY;Cc{`c!+RswXJ#GHc^UIxnU@zyMHg6~MUHe(e zxu?xPc5%7KpWDm1r_G{pbH^?Puw@*ZqvMpQYUOD|ekv zn}6f%a&|LbIrp@AI~iv`OF8$n`8UrmXE)=Ob5EPMlX3R5lygs;zj1LnyBV*Xd)mC6 zjI*DmoO{~5os4_y#l4(++Ps~Nx1Xh)d)oZlSC_M!@yfZU&EGt?oZXC9&OL4ZoulRK zX1sFlY4dh6&VH71?rHN!x0kb<@yfZU&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_ z+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7l zr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2 z%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1 z`&r7lr_I~RIQv=3xu?zB$vFF2%DJb-&z)@iKKHY9xOq>Tx07-9vy^jBo41p3_Oq08 zPn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5 zlygs;x07-9vy^jBo41p3_Oq08Pn*C0%5ruyUOD%)c{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h z_q6$kPA+FRZ=PSyZpJI;o;GhM5RWB>kn=V&>*8Lyms+P-fm}M(Oo;GhMV^L8@MewOm?Y4dh6&VH71b5}dGc{>?rKTCP{w0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OS!qL9ooE|jI*DmynEWbos6@erQF=r4sG5}#@WwO-aT#JPR7~K zQf}^Qhc<5~F{e;JG6N_8D~FBdH1w=I~iv`OS!qL9ooE| zjI*DmynEWbos6@erQF=r4sG5}#@WwO-aT#JPR7~KQf}^Qhc<5~h8D~FBdH1w=I~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBxw)$y z+Ps~Nv!A8>+|>?k-cH8Z&r*KwYKJy&C*$mADes;(ZztpIXDR2NHg6~6>}M(Go;GhM zRbXDK&#wL_bK?Ba5d zKev~6Pn$n;-*R>{-=90$@b3KK_hbL}d*apQ>}LGj(S~#955FJl?PQ$&Eam2|cIdbt z>z}&1+|w`b<=xZf?PR`dKTA3HwE1U_mb07jb4MHAoj?43++Y9P&))v^wV$Qm`1@-= zOUM0KfBni`r#bss%DJb_zj1asyBV*Xd)mC6jI*DmoO{~*o9CCaoAJuIr_I~RIQv=3 zxu?zFxVW6%j91P*ZQf4C+0RnWJ#F4j#=Z68Ud}yj-cH8b&r;4kZT{`6%h}C%<=oTe zZ=PGuZpJI;o;Lr^(Q?rKTA3HwE3gk%h}C%<=oTe?PQ$&EalwO=Ivyh z{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%am zWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO z=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22 z+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%OcPBwm@`&l~Nyr<3E z$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm z&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&EJ1zIlCFJoO{~5os6@e zrJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~N zv!A7$d)mC6jI*DmoO{~5os6@erJQ@({Kw8MXFubWb5EPMlX3R5lygs;x07-9vy^jB zo41p3_Oq08Pn-YvFD_?4RbXDR2NHvj1Px0Cs<{Ve6&)8-$$xZLBoZZa#m2*#< zf8y2U>}I@j?rHOOGR}UMa_(vKPhDN^>6iC%?rHOOGT*hIrJQ@({4+<(+0A(6+|%Oc ze)iA)_S(?rKTA3Hw0S!jXFp51xvL%8yq%1*pQXHe+Ps~Nv!A8h z+|>?k-cH8Z&r;q!ZQf4C+0RmL?rMiNZztpIXDRQVHg6~6>}M(Go;GhMmh$dt^L8@MewK3XY4dh6&VH71?rHOOGR}UMa&uQZw0S!jXFp4M_q2IC8D~FB zxw)$y+Ps~Nv!A8Bd)mC6jI*Dm+}za;ZQf4C+0Rmb?rMh?KXhu@F;_piB=arU#6o4eYf?fZ5z&VH8i?rHOOGR}UMa_(vKb~4U>mU8ZC z^L8@MewK3XY4dh6&VH71b5}dGc{>?rKTG+!s~y_Bos6@erTpC04sG5}#@WwO-aT#J zPR7~IQqDbX-cH8Z&r;4kZQf4C+0RmL?rMiNZztpIXDL5-wL_b?lW}&lly^^?f9T|L zb~AqNXv4enhu@F==hsfg+0RnWJ#F7Vb#}Rj@7~L~r_I~ReAj-Ka_(vKkDg!d^aFc2 z_q2ICneW=qQf}^Qhc^G%#pND61uem~aR$vFF2%FSKv&~ZQ3KXrAvr(fR7yQj_D$$Zy-mU8ZC^UoYDXE)>LjyAkI zfB5~lzy7(O{nKAw`&s(df4%mzbli{i*RR}lnzNs!oO{~*8)uiZoAJuIr_I~RIQv=3 zxu?y)d44&&8Lyms+Ps~Nv!A7$d)oYsi_6)~c;(#F=Ivyh{Ve6&)8_4D+*>d1<=oTe z?PR?DEalwO=HI@$oZXC9&OL4Z=DFqUX1sFlY4h(KEoV35m2*#?rKTA3HwE2(!;&S#gUOD%)`G-y}XE)=Ob5EPM zlX3R5lygs;KXrDwhwt9Yxu?zB$$Zy-mU8ZC^N*fi?(_qDIrp@AJDKm=&r;4kZT_)~ z%RT**L+0A@kIrp^rCth97ZpJI;o;GhMDx07-9v#rC;ds@7mjI*C@9d6#!;_YOd z{cP)S^PU!OC*$mATZfzXw0JuiXFuCI+`Om7+sQcl+1BCaJuTi&#@Wxd4ma;<@pdxK zeztYEc~6VClX3R5t;5ZGTD+Z%v!87pZr;=4?PQ$&Z0m6Io)&K>wsp99Pm8ycarU#V!_9kIyq%1* zpKTp(-qYgkWSsqM>u~d)9`EN)Hr(9Lwtjc*XXD?W^80b`mvblM>}Oktv!C&^<@Dx07-9v#rC;ds@7mjI*C@9d6#!;_YOd{cP)S^PU!OC*$mATZfzXw0Jui zXFuCI+`Om7+sQcl+1BCaJuTi&#@Wxd4ma;<@pdxKeztYEc~6VK|H^W9Gk$isc~6VC zlX3R5t;5ZGTD+Z%v!87pZr;=4?PQ$&Z0m6Io)&K>wsp99Pm8ycarU#V!_9kIyq%1*n{6F#-qYgk zWSsqM>u~d)7H=ox>}OktoA5IlCD@JKVgd$NLArd+V;#|MP!x*MooZ z`rg0)vAgCy9lsyZ8j&_3gc!d)oZduPt}>rM;Yc z+Wa%mF88T>_j2xO^Pjr0+`s#Uy_|d6{If4C_vr`sa_(vKpT4!+zxVoH&OL4ZXD==H z+-LT3?rHOX^Tcw0^X6X8J#GGPeQ~*e|MPn}_q6%XJhI$p-rLK$r_KM|wdMYUSN3x5 zY4bn-{Bq|$vX^sDn?LvNa{u9%_HyoN^MCuoa-V&2FXx^%|JnDKd;a=f&OL4Z7cMXN zAAN2w=bkqIcRsq@-}&}l&OL4Zm!4hjAKbf_b5EQ92RD|x`h~rmd)oY$Us&#!AKc5i zr_KNJt>ymV>w7u(wE1h7miym7vzK#EoBzs*<-T%rFXx^%|9^aOx&QO?dpY;C`L8~* z+*jY*%ekk`|D$Wm{a>%_<=oTeuRp)sKmN#G&OL4ZAHTcY8(-SXxu?y4?ZR?je{wJ9 zo;LsW_m_L~`d-dGZT_EJUhe|EJF` z_tw38Irp^rw{9%=&%Usib5EOp`-SDc@!($0J#GFQx0bv4`d-dGZT_80%l-4u?B(3k z=D&Gjxo_Uw%ekk`AANDTU;F%C&OL4Z*B@E#*WcUAxu?zli)+ih`^sLr)8@Z*VY%OaaxdqeHvil2FZVmw_j2xO^S^s}xo>}NFXx^% z|9c-@?)SdEmvc{>|Cg^V_nnvaa_(vKzyIuVe{k?Jw=++|%a2e_^>F zJh_*1Pn-Y2`^)|7>w7u(wE6chFZaXG?d9Cl;y-cMN2mM5UEkh2+`OmF|Hy00-Tl&D z&OL4ZN1t8po_qIl?rHP)+*t0o;H8)3(I}r!M&V&+WZG@E%)bN-^;nD&Hvb? zwGSN3x5 zY4i6#zuZrLWH0BQHvg0FF83F|w3l;FoB!~I<^Ix>dpY;C`1#KJ_rA8?f7Aczzg_RY z>A2VTU(Wk)%6b1yoB!U4<-Gs$%DJb_d*|i6|E8RK+Whw)SZ_2r+&3otNy#J=0d)mBrUe5b(%DJb_d*|i6|E8RK+PrsO&iil5 zxu?xPcxyTDzr1qpY4e}Bw4C=}UOD%)`A?o$&igN~oO{~5cV5o>Z_2r+&3otNy#J=0 zd)oXX*Ov4C%PZ%eHh=p0<-Gs$%DJb_d*|i6|E8RK+PrsO&iil5xu?yad4DZ_2r+&422~a^8P=<=oTe zz4LP3e^bsqZT{1@mh=A0E9agz|Ff5t^Zv^#=bkq2otN|en{w`H^WJ$m@4qSMo;L5D zm-GIca_(vKKX+|8@4viq?rHNs|NL^^e|hEH)8@VNa^8Pa&OL43J1^(`H|5;Z=0E%X za^8P=<=oTef8p|S-hX-J+|%a0^K#yQQ_ekY{+FIz&igN~oO{~5cV5o>Z_2r+&42lY z<-Gs$%DJb_d*|i6|E8RK+PrsO&iil5xu?y4<-~H{e|hEH)8@VNa^8Pa&OL4ZtB)+_ z{g+qHJ#F4QFX#O?<=oTez4LP3e^bsqZQeUC=lwV3+|%a2c44`%Ke?B4Pn-A7>$~26 zQ_ekY-a9Yn{Ws;@)8@VNa^8Pa&OL4ZS6*Ar`!BDYd)mBrUe5b(%DJb_d*|i6|E8RK z+PrsO&iil5xu?y4v-po;L5Dm-GIca_(vK z-g!CizbWUQHt(I6^ZuK1?rHPhc{%UDDd(Ox@12+P{+n{{Y4hK@u$=c_UOD%)dGEZO z_urIrPn-YU%gcHH<&|?!oA=JkdH+p0_q2KMyxezQ+RM48&Hw(h%l*NZ_2r+&A)eTxj%hnFXx^%|Gnpz^Zx7m%DJb_d*|i6|E8RK+Whw~ zEa&}~SI#|c-a9Yn{Ws;@)8^m5yqx!6UOD%)`1#H|exL8Z>2UL&Ht(I6^ZuK1?rHNs z`s{Mve|hEH)8_BFv7Gl`UOD%)dGEZO_urIrPn-Y1t>wJ`^2)iV&Hvb?<-Gs$%DJb_ zfAGX|-hX-J+|%a0^K#yQQ_ekY-a9Yn{Ws;@)8>ET+H&51dF9;G=DqWB-hWfhJ#F4Q zFX#O?<=oTez4LP3e^bsqEq=cL{*OH z{Co!<-km@Ee(ZmL@4TG%-;{Gt+xNZma^8PaZoUg2+WeXKm-Ft+EAO5*|K#Q6y!-O= z9e8+m{_y*;|NXu5a^8Pa&OL44_s+|meQ7V}o;L5Dm-qgga`RpI(B?mNV>$1>yz=g8 z^WJ$m@4qSMo;LsKTg!R(<>x!_@b3KK_hbM6|Ff5t^X|*fci`dN`NQwWdhfiP_urJ8 z@4|$Nbq0M{e<-Gr<{CpQawE3UAww!lge!c?_=kCur{C@0T|Ia_a zoOfSdIrp@E-#aho{Ws;@)8@VNa^8Pa&OL4Zv+pnG-IrI+J#GFME-&ZZmsid`ZQeUC z=lwV3=DYBr&HvJ~%X#tHaq3!!$ zePlWBzP$48Y4hHBIq$zI=bkq2otN|en{w`H^WJ$m@4qSMo;Lrr3(I}|$-SI=+PrsO z-}U~Ra`RpI(B{4Ka^8Pae!dGI+PrsO&iil5yQj_n%4^Gc_vMvyPn-A7%X$AzIrp@A z@4TG%-;{GtoA=JkdH+p0_q6$M+*;1NFRz??+PrsO&iil5xu?y4^TcxAefjwgJiI%9 z`2E;_{=M^Z-hWfhJ#F9j&dYiKO*!|pdGEZO_urIrPn-A7%X$AzIrp@A@4TG%-;{Gt zoB!5@<-Ggy%DJb_d*|i6|E8RK+WhZcUe3EOubg|@ymwyC`)|s*r_Fok<-YUMUd}yj z{`a3m-GIc^6qK#Kl%PZ%eHt(I6 z^ZuK1?rHPhc{%UDDd(Ox{}b1i^X|*fci`dO`NQwW{_pRdm-GIca`RpI(Dr@ryqx#n zly^^?_s+|C|4q61E_`V5^ZoaW|I2#+P5+1gV!i*S<9^(K|M||#dH+p0@4spD-#f9K zcVAvP_q2KMyxi^a_fN{Xr_F!=k>$Mm^2)iV&Hv!qa^8J;<=oTez4LP3e^bsqZT^Sv zPB-6u$N$|d=bjcn-+4Ljzv*!Eo;L5Dm-GIca_(vK_gr4iyDzVtd)mBrUe5b(%DJb_ zf8e#{y!-OXxu?y0=jFWrrks1)ymwyC`)|s*r_Fok<-GrEX@^apNdF9;G=DqWB-hWfh zJ#F4QFZZ#R_HyoN^WJ%R@4qSMo;L5Dm-GIca_(vK-g!CizbWUQHvizQ<-Ggy%DJb_ zf8x?|-hFxH+|%Yid15*5zPxhoY4hHBIq$zI=bkq2otJy~y}g`!+WaHemhwJ?^2)iV&HwDB<-Ggy%DJb_d*|i6|E8RK+PrsO&iil5xu?y0=jFWrrks1){Lfum z&bu$KoO{~*&p*GMcVAvP_q2KMyqx#nlygs;_s+|C|4ljfwE54zznphpUOD%)`Cqua zoOfSdIrp@A@4TG%-;{GtoByR}m-Ft+E9agz@12+P{+n{{Y4cxxVL9)rd|G+|%a0^ZKs$-;{GtoA=JkdH+p0 z_q2KMyqx#nlygs;|CQI4^X|(l=bkq2otN|en{w`H^WJ$m@4qSMo;L5Dm-GIca_(vK z-?+7$cVAvP_q2KMyqx#nlygs;|K^G1y!-OXxu?y0=jFWrrks1)ymwyC`)|s*r_Fok z<-GrZ_2r+&3otNy#J=0d)oZBE-dHWmsid`ZQeUC=lwV3+|%ZN_wsVy zeR<{F)8@VNa^8Pa&OL43J1_U0m-ce*Y4gAT>~eo_?_SP5ZQeVt?|c7EIrp@A@4TG% z-;{GtoA=Jk{o(6-Irp^rKf1J>cVB;wa_(vKe|%y&@4mcp?rHPhc{%UDDd(Ox@12+P z{+n{{Y4h)0TkcO^*~_`7&42It<-Gg)zH;tq^WJ$m@4qSMo;Lse3(I-;<&|?!oA=Jk zdH+p0_q6%eT9{{G8(|J^#A_g{Xt`0t%q&bu!^JKVgd#e3)F zZh!uc4ma;<@!x-BIq$yyoY~>#JuUtR*Ov3{%g+us?`iSgc{%UDTZfzXwD=#6zfXpn z@4j1yoA>m1Ki_#d@4s7rYrX%D|NZj&aqs{0otN|eyLCA4zx-_Z{ymqM^X|*f4ma;< z@!okk@4s7zoAD_s+|C|J^#=yr;!`=jFWrZXIsk)8f7Ja^8Qp z4ma;<@gKUioOfS-cDQ*@i}%jUdH>xy+`Om7d*|i6|85;_-qYf}^K#yQw+=V&Y4P59 zxevd0M~9pDw0Q5ly!YR&!_9kIymwyC`|sA_<~=RmJ1^(`ck6KTo)&-d!gAhy`Pt#- zJuTilFX#Pt>u~d)7XLGsm-Ft+&ki^5Y4P59Iq$z)hnx4bc<;R2$6mUl!_9kIymwyS z`|sA_<~=RmJ1^(`ck6KTo)+(&m-GI+b+~y?i+}Lea^8LU+2Q6rE&daimhu~d)7Vn*x^ZvVaxOq>DKlA=_-hKJm;pROp{>jVBdH3aK zhnx4bc<;QN_us9<&3jtBcV6!7OLug*c~6V?&dYoM-8$U7r^SEj#&X_$`Pt#-JuTil zFX#Pt>u~d)7XRs6%X#t*Vds_TgA6d@3FF!lnyr;!`=jFWrZXIsk)8f7Ja^8Qp z4ma;<@!okk@4s7zoArdX%;pROp-aD`FdjH)z+`Om7d*|i6|85;_-qYf} z^K#yQw+=V&Y4N}E+H&4~`Pt#-JuTilFX#Pt>u~d)7Vn*x^ZvVaxOq>D_s+|C|J^#= zyr;!~JTfvlTsc273h-E4kInk~1vkVXu`V6f8S%CE4n5h7Tq zF#59?Z@e<@=kfWR*EzR2uj&c!?>x@;{y9^<<~=Rm&db?<_Z@ED)8fBBU(W8!&ki^5 zY4LVm&i=daaPyuP|HF1UyDvXG+`Om7+j%+r@4my$ds@7mm;3SJi4HgKY4JauF8A}( zPjt9>Pm8zndcXa5-{IyxE#A({*?;#PZr;=4?Y!JCpFGjw<~=R`*URPXzCLGmxOq>D z|829J-It#oZr;=4?Yx}*ci-XWJuTkO%h`YT9d6#!;%}~&`~Bl5I^4Xc#XmY*&hG2| zv%}4MTD+Z?v;Xcp+`Om7KR#d1?#s^(H}7fjc3#f@yYF!Go)&+*UC!>y&ki^5>2W`I z-r?r{yYGKp`|sEf^8DETFn3~Qm*7JujAa&}*ScDQ*@ zi?{P~_TPPnoA3{r3YyVBh{n+o{+;=(qZ_3$y)8-$I?+<79O*!|pc{?xn z$N2uFoO{~*E`}Ber}d?Pm7=X zE@%Huhnx4bdHXJB|4ljfwD~*R?#nCZo;Lr=<#KjkUOD%)`Byi~*?oEC+|%amyqx_v z<=oTe?Y!J;H-~cWY4ayn%h`Q>j&km4^RJ&RXZPimb5EPM^K$mzlygs;xASuL-;{Gt zn}6eWIlC{foO{~*{q1sgUtT%)w0S!(Xa7w(_q2ICFZbrfp`3f#yq%Y~|E8RK+WcD& zm$Uow%DJb_+j%+rZ_2r+&A)xUoZXjK&OL4Zoy+CyzPxhoY4dho&iXoZXjK&OL43&db?CBmsid`ZQjny*?&{cJ#GGWyPVyZ zSI#{xe(t;D`P_fg;pRPU-oDG(e^bsqZT_j#e_8u) zI_`D<v-po;Gji<^K5SP|iJV{_)9jc3+>PoO{~*pI6J-eR<{F z)8_5Goc%ZD+|%a&`fj?p`;MQR<=oTa=g!O7f79XSJ#F63%h`WZ&OL4Z&UQJwFRz?? z+Ps~Yv;U@?d)oZn2g})gdF9;G=Iy+k{Ws;@)8_5Goc%ZD+|%amyqx_v<=oTepS@nr z?#nCZo;Gjiubg|@yq%Y`|E8RK+Wd>#|4ljfwE35>m$Uow%DJb_ zzjC>p-IrI+J#GHg&2n~MUOD%)c{?v>|4ljfw0S!(_u9>&oO{~*$<=apU!S9#d)oZ# zXUo}rdF9;G=Iy+k{Ws;@)8_5Goc%ZD+|%aYxLwZf%PZ%eHh+J+oZXjK&OL43&db?< zQ_ekY-plUb^lP#J#F63>%I2hlygs;xASuL-;{Gto450F_TQ9qPn-Yx!E$zAUOD%) zc{?v>|4ljfw0S!(Xa7w(_q2ICFK7QvIrp^rZ?2cK`|`@Ur_I}WIs0$Qxu?y4yIIce z%PZ%eHgD(U?7u1Jo;Gji|4ljfwD}*l%h`Q-<=oTe?Yx}*H|5;Z=Iy-Pj~9n>?rHNsoi6wD z(}!~IY4dho@3;S^oO{~5otLx!rks1)yq%Z(<>_q6$6FPF3X`W)rl)8>EMENA!S zm2*#U24~FRz??+Weh|%h`Q-<=oTe?Yx}*H|5;Z=I>rFXZPimb5EPUce$M1msid`ZT^|f za&}){Irp@AJ1=MdO*!|pc{?v>|4ljfwE5?*mb3fv%DJb_+j%+rZ_2r+&D(i7`)|s* zr_I}WIs0$Qxu?a?{rBJf4{QHT|K=a8{Wl%=y8m+a-;}ferp-UvENA!S=MFr)JHLB= z^!;{T?vIZS<=oTu{>LZF*?oPEa_(vKe_kzT_vMvyPn);%a`xYpb5EQ9>$~aZ?mK>N z?ZCsk^SkHA{{808%h`X^;nps^YkB|Nc{%%U%FkVR*XHkRm$Uowa|a&I-RJC{AN}*& zc{%%U%DJcQ{dXTMXZPimb5EPM^K$mzlygs;xASuL-;{Gto450F_TQ9qPn&=CdO5o< zubg|@yq%Y`|E8RK+Ps~Yv;U^t+=X{--p|4n)Kw0S!(Xa7w(_q6$! zua~p?^2)iV&A)QFoZXjK&OL4Z)y;BtUw-bu!@Kjl=SRPPc3#f@n{w`Hd%vBRd+p{> z&OL4Zn_`uDfg~Lo{Ws<3F1%~=c3#f@oAPrP-nIF6ua>j>@^c3s&fVwi zo*(`5zjwBr-IrI+J#Fu|^K$mzlygs;xASuL-;{Gtn}7dyIlC{foO{~*2ixWBzPxho zY4dho&i5a+ zotLx!rrg|xcWv*t^K$mzly^^?|9rEY-It#`@bK>Z?)lL_znzz}|EApBg?DZ5|Kemh zyDzW2d)mC6m$Uz-oO{~5otLx!rks1)yq%Y`|E8RK+WeR2%YAkKP|iJV-p=d2_TQA7 zyYQ~f+j%+rZ_3YIc-Q9byqx_v<=xZfzkaZs-IrI+J#F63%h`WZ&OL43&db?|4ljfw0S!(_v6K(oO{~*Pp8ZM{Pdxmd)mC6*Zb|i zDd(OxZ|CLgzbWUQHgD(Ue);53ZtlXnHvjA8a&}*zqr7|C{BN7(?7sZmfroeJch8Uh z{@Zyu`)|s*r|tcAUe5lTa_(vKH&@I3{_&xld)oY?v*ql*-mjc{+Ps~Yv;U@?d)oZt z^X2TmymIbo^LAd&{+n`h7v8n`+wF38UtW3lwD`I6j^}g#O@~{%@UG3Z?)kCr@7#GgyKlwAOygR>pe)Rv} z&db? z|4ljfw0S!(Xa7w(_q6#J&X=?M^2)iV&D(i7`)|s*r_H~(UC!>yE9agzZ|CLgzbWUQ zHgD(UUb;Axb5EPM^YZrJlygs;xASuL-;{Gto450F_TQ9qPn&=FdO5o|4ljfw0S!(Xa7w(_q6%1A1r70<&|?!o450F z_TQ9qPn);%a`xYpb5EPM^K$mzlygs;|K@r*yDzVtd)mC6m$Uz-oO{~*x0~hczPxho zY4dho&iR78ug_7=J#GHC&2n~MUOD%) zc{?v>|4ljfw0S!(Xa7w(_q6$&tL1+G_)yM0ZT`{Oa&}+uSI#|c-p5a-TB?~qwlx# za({euDCeHG_dh;a&hG1Tlygs;|MO}&yDzVtd)mC6m$Uz-oO{~*U*AnPci-`IYX=_Q zo!>n__U|`$Ue5lT4!3sUUCaCD&db? z|4ljfw0S!(Xa7w(_q6#J&X=?M@^c3s-ksk)Kl=M;=jH6bDd(QH_rJJZ&hE=A=bkoi z=jH6bDd(OxZ|CJ+x;T_`Pn);%^7h}9o4fF?&D(i7`)|s-r_I}WIs0$Qxu?y)e7&6A zmsid`ZT^+ZwAOygR>pe)R8e=jH6bDd(QH_uF|n`)|t4U3k~#-?&}Q z?#nCho;H7fyPVyZpF8mI?)>ig(Z9c)m$Uz-oO{~dZ|CLSyf~C|Pn);%^7h}9o4fF? z&A;_EotLx!ro4OF{O6nH?7sZmfroeJch8Uh`R%-%{Ws<2F1%}d{}(6A*?oEC z-P7jnyqx_v<=oTe?Yx}*H|5;Z=Iy+k{Ws;@)8@ZCU+$~>hjQ*|^LAeEwg0Bv+=X{- z-pz?6=cf|4ljfw0S!(_sb`Ta&s5nwfSE!m$Uo&9Od29=6~BPXZPjj4m`X&zk7c4_utOT z*?&{cJ#Fu|^K$mzlygs;zqwlO_m2TJ!UOD%)`Foek*?oEC z+|%Zt*(_)G<&|?!o450F_TQ9qPn);%a`xYpb5EOp?rJ%^FF$wS;obS&^P~U&c3#f@ zn{sm(-nG5o&db?|4ljfw0S!( z_vXc+oO{~5otL-&rks1){96x~v-|SOxu?zBc{%%U%DJb_zkR)&-IrI+J#GG-%jN98 zymIbo^LAd&{+n{{Y4dho&ij%r(eR<{F)8_5Goc%ZD z+|%amyqx_v<=oTe?Yx}*H|5;Z=D)dK&hE=A=bkoi=jH6bDd(Ox|LtZuyDzVtd)mC6 zm$Uz-oO{~5otLx!rks1)yq%Y`|E8RK+Ps~Yv;U@?d)mC6m$Uz-oO{~*_vg#meR<{F z)8_5Goc%ZD+|%ZN*e+-H<&|?!o450F_TQ9qPn);%az9=i%DJb_|8%OyYJtv{rBIbf4}d)oc(v-;q1TsZ1Ima%h`ST+2Q6rE#A({{qfNg z9d6#!;vb(ZXZQ6vv%}4MTKu0^%h`ST+2Q6rE#A({*?;#PZr;=4{~F(u;pXnU?{M>; z9`|$Shg-`Or__vL4YoADxAXG$ z-+hOh_q2FBFK7SVcer^^i?{P~_TPPnoAy&ki^5Y4LVm&i=daaPyuPZ|CJ+x_F|)&3jtBotL-&?mOJPr^VZOIs5Ov!_9kI zyq%Y`|L!~7yr;##e7&6Am!BPO-qYe=xm?cf%g+us?`iR`ZkDtA^0ULuds@7mm$U!w zJKVgd#oKwg*KVHZaPyuPe{!{)-Ph;L4ma;<@vomPXZPi2hnx4bcsnm=|J`@Ec~6VC z^K$mzeTSR(wD>n}m$Uowv%}4MTKxU(a&}*ScDQ*@i?{P~_TPPnoAC%h`ST+2Q6rE#A({*?;#PZr;=4-@abX?#s^(H}7fj?_4ft z_vL4YoA~Qm*7H{X}?7#aCH}7fjUz{vw_vL4YoA~Qm*7H{X} z?7#aCH}7fjc3#f@yYF!Go)&NCD|6#kF-It#oZr;=4?Yx}*ci-XWJuTkO%l&xqM2DOA zwD_M+m;3qYCpz4`r^VZOz2E-3?{M>;7H{X}?7#aCH}7fjc3$q6PoC&-^PU#}>*aEG zU!OBO+`Om7|F&7q?#s^(H}7fjc3#f@yYF!Go)&NCS29d6#! z;vbzYXZQ8~+2Q6rE#A({*?;#PZr;=4AD=I0_vL4YoA$z`|`@Ur_H}|xt!gXSI#|c{?*NLc3)mO z_q2ICFK7QvIrp@AJ1_Uz&7quo+Wg7Ya&}*zqnvx%{Of1S*?oEC+|%amyqx_v<=oTe z?Yx}*H|5;Z=HIwo&hE=A=bkoyf4iLBmsid`ZQjny*?&{cJ#F63%e{GVDCeFwZ|CLh zzbWUQHviVc|4ljfwE1tYm$Uow%DJb_+j%+rZ_2r+&40UD&hE=A=bkoi=jH6bDd(OxZ|CLg zzbWUQHgD(U?7u1Jo;Gjii)_vMvyPn);%a`xYpb5EPM^K$mz zlygs;zqwlO_m2Tx9@WH-;{Gtn}6zbIlC{foO{~*orlZWeR<{F z)8_5Goc%ZD+|%aoUN2|&<&|?!o4XaC)IIQuU@Tl}NVa`s<-cDQ*@i?{P~e|+>rhnx4b_{S&9*?oP^ z>~Qm*7XRnfa&}*ScDQ*@i?{P~_TPPnoA;7XR$^a&}*ScDQ*@i?{P~_TPPn zoA`Zr;=4?YzAGci-XWJuTkO%h`YT z9d6#!;_bYg{deEt<~=R`h4ba?zWnTP^PU!O=jH6b`wlnnY4I;^m$Uowv%}4MTD+Z? zv;Xcp+`Om7+j+T{E}rOc^PU!O=jH9c`wlnnY4LVm&i=daaPyuPZ|CLgzxxh1?`iQb zUoU6(~Qm*7Jq-coZXk79d6#!;_bYg{deEt<~=Rm&da@d@kEE4_q2FBFK_?dcer^^ zi+}6ka&}*ScDQ*@i?{P~_TPPnoAJD1DZefinp<~=Rm&db?< z_Z@ED)8g&Coc(v-;pROp-p2ixWBzWnTP^PU!O=jH6b`wlnn zY4M+)E@$`UXNQ~jw0Ju&XaC)IxOq>D|Lnu%?7sZ$aPyuPZ|CLgzxxh1?`iRNUe5lz z?{M>;7XSHXIlC`EJKVgd#oKu~`|rNP&3jt>7bnZvefinp<~=Rm&db?<_Z@ED)8g&C zoc(v-;pROp-pj%r(efinp<~=Rm&db?<_Z@ED)8g&Coc(v-;pROp-p;7XR&LIlC`EJKVgd#oKu~`|rNP&3jtBotLx! z?mOJPr^VZOIs5Ov!_9kIyq%Y`|L!~7yr;$6c{%&|4ljfw0S!(_tM3ooO{~5otL-&rks1)yq%Y`|E8RK z+Ps~Yv;U@?d)oZV*UQ;`dF9;G=3lv7&hE=A=bkqI>Sj5+FRz??+Ps~Yv;U@?d)mC6 zmwWBzP|iJV{^V*oyRXkt&OL4Z^|R&dzPxhoY4dho&i|t z_vMvyPn*BLUC!>yE9agzZ|CLgzbWUQHgD(U-n=-Jb5EPM^YZrJlygs;f9v6Lc3)mO z_q2ICFK7QvIrp^rx38D8`|`@Ur_H}}xt!gXSI#|c-p$^_zPf)X=bkoi=k;FuZ_2r+&D(i7`)|s* zr_I}WIs0$Qxu?y4{a`t}FRz??+Ps~Yv;U@?d)mC6m$Uz-oO{~5otLx!rks1){5RLj z*?oEC+|%amyqx_v<=oTezuhcn_vMvyPn);%a`xYpb5EPM^K$mzlygs;xASuL-;{Gt zo450F_TQ9qPn);%a`xYpb5EQ9{(L#RFRz??+Ps~Yv;U@?d)oXD+vV)OymIbo^LAd& z{+n{{Y4dho?#GKmIrp^rpH7$i`RPMB_q2ICulL)3Q_ekY-p*egeymIbo z^LAd&{+n{{Y4dho&i_q2ICFK7Qv zIrp@AJ1=MdO*!|p`4`TYv-|SOxu?zBc{%%U%DJb_zqnn_?#nCZo;GjiyPVyZSI#|c-p|4ljfwE3q_m$Uow z%DJb_-+8#4-IrI+J#F63%h`WZ&OL4Z?)7qZUtT%)wE26N%h`Q-<=oTepV=&D_vMvy zPn);%a`xYpb5EPM^K$mzlygs;f9`5IyDzVtd)mC6m$Uz-oO{~5otLx!rks1)yq%Y` z|E8RKTKwF9|JQ%K_TThhzO?q=blmIy%h`WZ&iZ?)lO8+j+S^ zK01_hPuu$+pDbth^*PG9r_KL)wVd6TSI#|c-p#OO|4oNmyYQ~%{d4E#?7t~Lci~-|zq4J=?#s^|csO^TvwME@&u{1D?7u1J zp0@YjeXyL}msid`ZQjny*?&{cJ#F63%h`WZ&OL43&db?9O_TQ9qPuu%n+%9ML<&|?!o450F z_TQ9qPn);%axYyR%DJb_+j)8WZ_3SGc-Q9byqx_v<=xZf?Yx}*H|5;Z=3l;E&hE=A z=bkqI%H?u)UtT%)wE0&z%h`STxdRXH&hMTd{r=f`Is0$Qxu@;@c3$qan?pJGwE2^( z+Sj`Pa{uv-|RM2Oi#?-#tJ2_qX$M_TQ9qPuu(Lyqx_v<>oHDYx8g1E@$`U zm3L2@zrS71?#s^|czAby_x$MJ-_Fa~e^bsqZSS}9a&KN7%DJb_+j)8WZ_3SGc-Q9N zdbph3msj3BZQjny*?&{cJ#GH&>*ege{M>`B87@ z@^w0m^ z*>ZMYUOD%)z2DBu*?&{cJ#F63%h`WZ&OL4Z{oCd2zPxhoY4aa!m$Uow%DJb_+j%+r zZ_3SGc-Q7XJzdW3%Pa4mHgD(U?7t~Dci~-||Lnu%?7sZmfroSVIlJdazdv?f&i6>o450F_TQ9uPn-Yx!E$zAUOD%)c{?v>|4ljfw0S!(Xa7w(_q2ICFK7Qv zIrp^rZ?2cK`|`@Ur_I}WIs0$Qxu?y4yIIce%g-Hncz1sH{OIq$otLx!rks1)-f!pS z?7u1Jo;Gji2h{o ze(u1-yYsu}$G*RF=jH6aDR<}L^4i{S=jH6bDes;(fA@MhyDzVtd)oZH%jN98ymIbo z^UrLSv-|SOxu?zBc{%%U%DJb_+j%+rZ_2r+%|CawoZXk7JMi%C{Ow-f!pS?7u1Ro;Gji*egeymIbo^LAd& z{+n{{Y4dho&i_q2ICFK7QvIrp@A zJ1=MdO*!|p`4`TYv-|SOxu?zBc{%%U%DJb_zqnn_?#nCZo;GjiyPVyZSI#|c-p|4ljfwE3q_m$Uow%DJb_ z-+8#4{g+qHJ#F63%h`WZ&OL4Z?)7qZUtT%)wE26N%h`Q-<=oTepV=&D_vMvyPn);% za`xYpb5EPM^K$mzlygs;f9`5IyDzVtd)mC6m$Uz-oO{~5otLx!rks1)yq%Y`|E8RK zTKwF9|CfKb_TTiM|95NuO~<|NznuLyZ?)kBQ zzq#{r_TO~4wF~cB-amI<&iig(eIy~m$Uz-oO{~dZ|CJ+yE&9|Pn$ovTF&n4 zbCh#Wn}7XmIlC`Eci`dO`Q7uQe}6kKXa7w(_q4s=&db?@^!sDywAOygR>pe)P|8=jH6bDK~fFUEBM=I9bl_%Pa4mHgD(U z?7u1Jo;Gji2g0meJJOiHgD(ke*16Axu?zBc{%%U z%DJb_+j+TPJ~@<|yYQ~f|9ZKc-Ph+R@18dQ+h#etFF$wS;obS&^P|82c3#f@n{w`H zd%vBRv;U@?d)oZX)pEaod?@FhHvi~sIlHg-E9agzZ|CLgzbWUQHvjm1IlC{foO{~5 zotLx!rrg|xcWwT5yPVyZSKd7>e(t>E`P_fg;nps^Yx8zq&iwAO zygR>pe(d`@cV5o!n{sy^F0bwVc3#f@oAT~y^LMY8v-|SOxu?zFyIjuh%PZ%eHvi0K zIlC{foO{~5otLx!rks1)yq%Y`|E8RK+Wd1@%h`STxdRXH&hMTd{r|V~a`xYpo4fF? z?frIM&i?>~25&iA*?oEC+|%a&yjsrg%PZ%eHgD(U?7u1Jo;Ls2 zchk+?cl_Ke=bjcncV5o^n+`YcY4dho&ioO{~5otLx!rks1)yq%Y` z|E8RK+WZUW%h`Q-<=oTe?Yx}*H|5;Z=3m?{XZPimb5EPM^K$mzlygs;xASr@T^!1} zr_I}WdHZk5xu?zBc{%%U%DJb_+j%+rZ_2r+&A)uToZXjK&OL4ZmCNPqzPxhoY4fjc zmb3fv%DJb_+j%+rZ_2r+&D(jo*KQ8w+|%Yyu9ma=`W)rl)8=14Th8vwE9agzZ|CLg zzbWUQHgD(U?7u1Jo;Lr+?Q(WsUOD%)`TN`D?7qBm?rHOOUe5lTa_(vKc3$qyi$gj0 zw0S!(Z~sj>_q6%99xiA1<&|?!o450F_TQ9qPn&=HdO5o2h{oUOD%) zc{?v>|4ljfwE53IT+Z&xE9agzZ|CLgzbWUQHgD(U?7u1Jo;LsaW;werubg|@yq%Y` z|E8RK+WZ$M%h`Q-<=oTe?Yx}*H|5;Z=Iy+k{Ws;@)8_5Goc%ZD+|%a2JYVjs`-gJw zY4dho@3sG?oO{~5otLx!rks1)yq%Y`|E8RK+WglKmb3fv%DJb_+j%+rZ_2r+&D(i7 z`)|s*r_I}WIs0$Qxu?y4bG@A1msid`ZQjny*?&{cJ#GHm&2n~MUOD%)c{?v>|4ljf zw0S!(Xa7w(_q2ICFK7QvIrp@AJ1=MdO*!|pc{?v>|4ljfwE6GPm$Uow%DJb_+j%+r zZ_2r+&Hu1n&hE=A=bkoi=jH6bDd(OxZ|CKHyf~C|Pn-Ygbh)3OK9qA$o450Nzx_Am z+|%amyqx_v<=oTe?Y!JCpB&1$r_KL*xt!hC=P2i%HvijZIlC{foO{~5otLx!rks1) zyq%Y`|E8RK+WgJca=(9kDCeFw|LANvyRY{v=bkoi=jH6bDd(Ox|M+}4yDzVtd)mC6 zm$Uz-oO{~*?RGi4FRz??TKwF3$Md=Wro+v9+Ps~Yv;U@?d)oX{r_0%WdF9;G=I=aQ z&i>0Q=bkoi=jH6bDd(OxfA@MhyDzVtd)oZH%jN98ymIbo^UrLSv-|SOxu?zBc{%%U z%DJb_+j%+rZ_2r+%|CawoZXjK&OL43&db?&z+aE z|L*($^JT{%x|jc(-#2*hAmT{CO0cjIS8y;O2Ug^Wl~_2EGL4Yt2Z3ZZyGdsEzsb(- zCLpAFAmx@@ra6#C48mZr(&EU!u&@zUuux(2FJV0Ek#Xu~d)7H{X}?7v%woAYbVRuefinp<~=Rm&db?Pm8zndawO=>u~d)7H{X}?7v%w zoA z^PU!O=kkmtwthq?1|_TR0;*?;-j^8TmpFK74VXNQ~jwD>y@m$Uowv%}4MTD+Z? zv;S@#Zr;=4?_Muw_vL4YoAvnR{hefinp<~=Rm&db?DfBtGYyDvXG+`Om7+j%+r@7CewJuTkO%h`Xo4ma;<@pfL${=0R! zc~6h~x&Qv}|L59&)BoeYUi)u4?#KTA=Dy3>e^buxn>PPw{Qhuu-;{Gto450Fe~jOs zlygs;e|)-}-IrI+J#GHatL5yzymIbo^LAd&{+n{{Y4d-5H{INS$Jfnr?rHIJ-{tJT z>2UL&HgDhM?7u1Jo;H7Hx18OVSI#|c-pyE9agze{Z*(-IrI+J#F63%h`WZ&OL43&da@ZaVY1WHgD(U?Y}AKo;LsX!{zM0 zymIbo^LAd&{+n{{Y4h(~FK74Vm2*#5mb3fv z%DJb_+j%+rZ_2r+&D(i7`)|s*r_FzUvYg$QSI#|c-p|4ljfw0S!(Xa7w(_q2ICFK7Qv zIrp@AJ1=MdO*!|pc{?v>|4ljfwE6GPm$Uow%DJb_+j%+rZ_2r+&Hu1l&hE=A=bkoi z=jH6bDd(OxZ|CKHyf~C|Pn-Yg{&GJ*eJJOiHgD(ke*16Axu?zBc{%%U%DJb_+j+TP zJ~@|4ljfwE5?+ zmb3fv%DJb_+j%+rZ_2r+&D(i7`)|s*r_I}WIs0$Qxu?a?{rBJgr?vm4|L*@=`)@k# zb^qn;zbR+`O`CsovYh>wSI#|c-pE`Y`zHXLtPm7;BFK7Qvhnx4bc{?v>|4ljfwD~)`AgTJ!UOD%)c{?v>|4ljfwE1sOmb3fv z%DJb_+j%+rZ_2r+&D(i7`)|s*r_I}WIs0$Qxu?zBc{%%U%DJb_+j%+rZ_2r+&3}Ks zoZXjK&OL43&db?j&km4^S_-eXZPim zb5EPM^K$mzlygs;xASuL-;{Gto4>hQ?)Q%m<=oTeADt~{_w|0|+|%amyqx_v<=oTe zAD=I0_vMvyPn);%a`xYpb5EPU-7RPL<&|?!i=R91cs}>vbhvp>o450F_TQ9qPn&=0 z{&IF-UOD%)`8yAnv-|SOxu?zBc{%%U%DJb_-@RVW?#nCZo;Lr?<#KjkUOD%)`Dag- zv-|SOxu?zBc{%%U%DJb_+j%+rZ_2r+%|Cy&oZXjK&OL43&db?c|EA+!_g~Kbn{xKwwE0IT%h`STxdRXH&hMWe zeZQTT`{Qqia_(t+|Kro;?7lunIrp^rKd+Xv`|`@Ur_I}WIs0$Qxu?zl_1$!H_Z?ri zcHrUN`Tg@_|9*4lxNEZ}WF{%h`STxdRX9?sN9fkADAl zUe5lTa_(t+|J?`6*?oEC+|%amyqx_v<=oTe?Yx}*H|5;Z=Iy+k{Ws;@)8?PMUe4~z zE9agzZ|CLgzbWUQHgD(U?7t~Dcj0}TxASuL-;{Sxo450FFWel;xu?zBd3pP9%DJb_ z+j%+rZ_2r+&D(i7`)|s*r_H~3zMS2cpF8mI?)?7w(Z4@CFK7QvIrp@^|E1k>c3)mO z_q2ICFK7QvIrp@AJ1_V0#i5*g+Ps~YxBsTx+=cgT-p*egeymIbo^RHemXZPimb5EOp?PNK-FF$wS;obTD^P|6ic3#f@n{w`Hd%vBRd;R86 z&OL4Z^lCY~ug_7=J#GGtv*ql*{M> z=SRQ)_s^EI`|`@Ur|tcAUe5lTa_(vKc3#f@n{w`H^B>$UXZPimb5EQ9aJQV@msid` zZQjny*?&`R?!x;v|LOhZ?7qD6?rHOOUe5lTa&s5nxB1ULTF&mv&mDL;cb~I=e)RXp z&db?Z{`t}G-_Fa~e^YMm!uz)Oe{s5; z-IrJ1J#F63%h`WZ&OL43&db?|4ljfw0S!(_v6K(oO{~*PxqJm`RPMB_q2ICulL)3 zQ_ekY-p*egeymIbo^Uqu^ zXZPimb5EOp_GCG`FRz??+Ps~Yv;U@?d)mC6m$Uz-oO{~*^H z|4ljfw0S!(Xa7w(_q6#J&zH0N^2)iV&D(i7`)|s*r_H~#Th8vwE9agzZ|CLgzbWUQ zHgD(UUcNY#b5EPM^YZrJlygs;xASuL-;{Gto450F_TQ9qPn&<`dO5oyE9agz|KV;qyDzVtd)mC6m$Uz-oO{~* zr}vk$`|`@Ur_I}WIs0$Qxu?y4_R(^7UtT%)w0S!(Xa7w(_q2ICFK7QvIrp^r&rg=K z`|`@Ur_I}WIs0$Qxu?y4ak`w{msid`ZQjny*?&{cJ#F63%h`WZ&OL43&db?$^_zPfiP=bkoi=k;FuZ_2r+&D(i7`)|s*r_I}WIs0$Qxu?y4{a`t}FRz??+Ps~Y zv;U@?d)mC6m$Uz-oO{~5otLx!rks1){5RLj*?oEC+|%amyqx_v<=oTezdc#b?#nCZ zo;GjiaNBa_(vKKiyyM=cf|4ljfw0S!(_sb`Ta_(vKzg{k9_w_l-xu?zlcCwt^msid` zZQjny*?&{cJ#F63%h`WZ&OL4Z=4!d$KR%RmPn&;qww&G9`;~J~o450F_TQ9qPn&;y zzMS2cSI#|c-p2h{opQD_6+Wena%h`Q-<=oTe?Yx}*H|5;Z=KuO`y1Dy~uUk9t z@b3Kn`LTb$x$|=N-*mXO3-4RrKX+cv{+sf17v8t|JG*Q{ z|4ljfw7viCgXQeLymIbo^LAd&{+n{{Y4dho&iu}&OL43&db|>Q*Q3U`!;XqQ*Q3U z`!@gf!{zM0yz=g8^LAd&{+n{{Y4h(~FK74V=MFr)JHLN^^xyy8%jN98{M>VXa7yPxeM<*?nk|ym$Uz-{M?22ZQjny*?&`h?!x;v|K8Pdc3*z(z{9!woc;5o z-~an(%h`Q-<=oTuemgH`|4ljfw0S!(Xa7w(_q6#BZkMzB^2)iV&40LC&hE=A=bkoi z=jH6bDK~fFeVhOE{&IF-UU~Plc{?v>|4q5M3-8y zEAO5*Z|CLgzbWUQHgD(U?7u1Jo;GjioHD zZ}WCu&i|4n)KwE3?eENA!Sm2*#*aEGU!SAAd)oYOC(GG=`MCoR@6PX^AN~8c z^K$mzlygtp`|Z4({Ws;@)8=okmiztVLpk@f`A28V*?qlVIrp@AJ1=MdO*!|p`N!wW z*?oEC+|%amyqx_v<>oHDZ}Ydi{da5sO~?J%&p&rw z&ihKeR<{F)8_5G+#lojC*|DJ<{zIfXZPimb5EQ9^J+P}FRz??+Ps~Y zv;U@?d)oY8-%U4n-|=;`oO@dQ+<7_sZ#vw(r_I}WIs0$Qxu?zF*)3=H<&|?!o450F z_TQ9qPn*B{U^%-lubg|@yq%Y`|E8RK+Ps~Yv;U@?d)mC6m$Uz-oO{~*bJxq+eR<{F z)8_5Goc%ZD+|%amyqx_v<=oTe?Yx}*H|5;Z=Iy-P3pa;y?rHOOUf%wja_(vKc3#f@ zn{w`H^LAd&{+n{{Y4b0hFK74Vm2*#ZMY zUOD%)c{?v>|4ljfw0S!(Xa7w(_q6#pZ z?=NTf<&|?!o450F_TQ9qPn-YjqvhlUb?;ElJ#F63>%I2hlygs;xASuL-;{Gto450F_TQ9qPn-Yx!E$zAUOD%)c{?v> z|4ljfw0S!(Xa7w(_q2ICFK7QvIrp^rZ?2cK`|`@Ur_I}WIs0$Qxu?y4d$OF}msid` zZQjny*?&{cJ#F63%h`WZ&OL43&db?vNQIPn-YkWI4Mpubg|@ zyq%Y`|E8RK+Ps~Yv;U@?d)oZX)pEaod?@FhHvi~sIlHg-E9agzZ|CLgzbWUQHvjm1 zIlC{foO{~5otLx!rks1){OxWzyDzVtds_V5dB^j)|E9yud)mC6m$Uz-oO{~*Q}>s% z`|`@Ur_JAaxSai$SI#|c-pMA`|sA_?7#eM@sCcHv-|S1!_9kIyq%Z( z<8M!NxOq>De|)-}-Ph;L4ma;<@qb<|XZPi2hnx4bcsnm=|J^#=yr;$gHGWTqo4fDU z;pRO(?&r?S*?+hG!`gqx|6iUT+yCdz%h`Xo4rl-6XUqHV?3T0p^0ULuds@7mm$UzF z9d6#!;_p6K&hE?44ma;<@pfL${=0R!c~6VC^K$mzt;5ZGTD+Z?v;S@#Zr;=4pSxbp z?#s^(H}7fjc3#f@yLGsEPm8zna`xY?!_9kIyq%Y`|85;_-qYgkyxa>nPjt9>Pm8zn z^7h}Y!_9kIyq%Y`|85;_-qYgkyqx`a>u~d)7XRY;a&}*ScDQ*@i?{P~_TR0;&3jt> zOS|RlzWnTP^PU!O=jH6bTZfzXw0Ju&_wvOP9d6#!;_bY={dend^PU!O=jH6bTZfzX zw0Ju&XaC(g+`Om7zjD2t-It#oZr;=4U%gz;?#s^(H}7fjubnJs_vL4YoADfA?}Z zyDvXG+`Om7+j%+r@7CewJuTkO%h`Xo4ma;<@pfL${=0R!c~6Uf?`k=_FF!lnyr;## zf3}?6m!BPO-qYgkyqx`a>u~d)7H{X}?7v%woAhr8wMzWnTP z^PU!O=jH6bTZfzXwD?c&FK74VXNQ~jw0Ju&XaC(g+`Om7fA-OGc3*yWxOq>DxASuL z->t*Vds@7mm$UzF9d6#!;y*uG&hE?44ma;<@pfL${=0R!c~6V~;&eH?FF!lnyr;$6 zc{%&<*5T$oE#A({*?+eVH}7fjc3#f@yLGsEPmBNZe7UdgJ<;LjJuTkO>%I2ht;5ZG zTD+Z?v;S@#Zr;=4?Yx}*ck6KTo)-W0gXQeL{OoY^o)&NC~Qm*7H{X}?7v%woAx9`|`8H&3jtBotLx! zZXIsk)8g&Coc(v}aPyuPZ|CLgzgvf!_q2FBFK7SVI^4Xc#oKu~`|sA_<~=R``}5`O zzWnTP^PU!O=jH6bTZfzXwD=!(%h`ST+2Q6rE#A({*?+eVH}7fjc3$qsizhnVyr;$g zbbq;@pMIjl&3jtBo!9&Azgvf!_q2FBFK7SVI^4Xc#oKwgUp{%F!_9kI{I8eG*?oP^ z>~Qm*7XRDHa&}*ScDQ*@i?{P~_TR0;&3jtBotLx!ZXIsk)8cQgmiztVCpz4`r^P=y zTh8w5{jDxASuL->t*Vds@7mm$UzF9d6#! z;_bYg{dend^PV2}bN~Gp|9A(DM*Z!N1`?0^jx$koJ-;}farp-SZzdxMaH|5;Z z=Iy-PALI8Y<=oTeAD=E~_vMvyPn-YqYB{?vubg|@yq%Y`|E8RK+WcSNO*i-7@pZGD zds_V5cRBlSI^4Xc&D(c5`)|s*r_JBlEob-Tm2*# z|4ljfwD~u0m$Uow%DJb_-`g!`_vMvyPn);%a`xYpb5EPM^Kx%p9Ll+;&D(i-`)|s* zr_I0pa5=j#ubg|@yq%Y`|E8RK+Wb4$%h`Q-<=oTe-@RPU?#nCZo;Gji|4ljfwE0i(FK74Vm2*#|4ljfw0S!( zXa7w(_q6$Mu9vg>^2)iV&D(i7`)|s*r_FzRvYg$QSI#|c-pD=zueDHAIiC>&D(js-~O9&?rHOOUe5lT za_(vKc3$q6PY&hW)8>D@T+Z(6bCh#WoB!=(IlC{foO{~5otLx!rks1)yq%Y`|E8RK z+WgJca=(9kDCeFw|LANvyRY{v=bkoi=jH6bDd(Ox|M+}4yDzVtd)mC6m$Uz-oO{~* z?QS`{FRz??TKwF1$Md=Wro+v9+Pr<2v;U@?d)oX{_m{K#^2)iV&EI*roZXjK&OL43 z&db?(=`(%*rTZfzX^thipFK7SV`uA)99shrM zer*4rJ1=Md-8!88m!B=~zq4D;?#s^(H}7fjc3#f@yLGsEPm90%U^%-lKRevKr^VZO zIs5O{;pROp-pDxASr@+&t0Y<~=Rm&db|>w+=V&Y4LVm&i=b~xOq>D zxASuL->t*Vds_U9=gZlB`Pt#-JuTkO%h`Xo4ma;<@h|O`v-|S1!_9kIyq%Y`|85;_ z-qYgkyxhwdPjt9>Pm8zn^7h}Y!_9kIyq%Y`|85;_-qYgkyqx`a>u~d)7XQlia&}*S zcDQ*@i+}ZUIlC`EJKVgd#lLp4oZXk79d6#!;_bYg{dend^PU!O=jC3%d7{J3ds_VI z)pB-UpEEn$yr;##akiY@m!BPO-qYgkyqx`a>u~d)7H{X}?7v%woAz1?zlUw(GDc~6VC^K$mzt;5ZGTD+Z?d+Xwf4ma;<@pfL`{=0R!c~6Uf`{8nS zUw(GDc~6VC^K$mzt;5ZGTKqfL%h`ST+2Q6rE&koh~Qm*7H{X}?7v%woAc3*yWxOq>DxASuL->t*V zds@7mm$UzF9d6#!;y<`u&hE?44ma;<@gMG%v-|S1!_9kIyq%Y`|85;_-qYegy}z8@ zm!BPO-qYgkyqx`a>u~d)7XR5t%h`ST+2Q6rE#A({*?+eVH}7fjc3#f@yLGsEPmBNj zWI4MpKRevKr^VZOIs5O{;pROp{)^M)?7sZ$aPyuPZ|CLgzgvf!_q2FBFK7SVI^4Xc z#oKu~`|sA_<~=R`%k$;Fy7xqfoA*AJGn`|`8H&3jtBotLx!ZXIsk)8g&Coc(v}aPyuPZ|CLgzgvf!_q6zLu9vg> z^0ULuds@7mm$UzF9d6#!;=esv&hE?44ma;<@pfL${=0R!c~6VC^K$mzt;5ZGTD+Z? zv;S@#Zr;=4?Yx}*ck6KTo)&NC zwm;0Bm$UzF9nSvC&zAQ;b$>a#FF!lnyr;$AdAOY2m!BPO-qYgkyqx`a>u~d)7Jv78 zIlC`EJKVgd#XobooZXk79d6#!;-5WP&hE?44ma;<@pfL${=0R!c~6VC^K$mzt;5ZG zTKw}@%h`ST+2Q6rE#A({*?+eVH}7fjc3#f@yLGsEPm8zna`xY?!_9ko+|T{@fBw6* z|EB-TyKDbV$Nkvf-`saO`)|tGebeS2jo%;6?wfM%Y4dho?vL^NlXC89^N&xLv-|SO zxu?zld9|F~msid`ZQjny*?&{cJ#GH4@1~pk@A$e|&OI%D?z^1*Hyv)?)8_5Foc%ZD z+|%ao?3T0p^2)iV&D(i7`)|s*r_JAeu$|4ljfwD}j$m$Uow%DJb_+j%+rZ_2r+&A+r; z&hE=A=bkoi=jH6bDd(OxZ|CJ+zBrU~Pn);%^7h}9b5EPM^K$mzlygs;xASuL-;{Gt zn}6kcIlC{foO{~*tC!2!eR<{F)8=10SvNQIPn&<^Y&p9xubg|@yq%Y`|E8RK+Ps~Yv;U@?d)oY)x69dmdF9;G=I`y6 zv-|SOxu?zBc{%%U%DJb_+j+USE)M0~)8_5Gy!|)j+|%aYez=_7msid`ZQjny*?&{c zJ#GG->*egeymIbo^Y30RXZPimb5EPM^K$mzlygs;xASuL-;{Gto450F_TQ9qPn&=5 zYB{?vubg|@{QGCi*?oEC+|%amyqx_v<=oTe?Yx}*H|5;Z=0CVy&hE=A=bkqI;chv* zFRz??+Ps~Yv;U@?d)oY`_m{K#^2)iV&D(i7`)|s*r_F!%(Q|4ljf zw0S!(Xa7w(_q6%XPnNU$^2)iV&D(i7`)|s*r_FzHx}4pYSI#|c-p|4ljfwD}))%h`Q-<=oTe?Yx}*H|5;Z=Iy-P zj~9n>?rHNs-CyqKrw`@a)8_5G-f#a+Irp@AJ1=MdO*!|pc{?xn%O{6&?rHPCUM^?% z^*PG9r_KL%vYg$QSI#|c-p>eR<{F)8_9yT+Z&xE9agzZ|CLgzbWUQHh=eeIlC{foO{~* zGndQReR<{F)8?N&S z|4ljfw0S!(Xa7w(_q2ICFK7QvIrp^qx&Qw2AJ_hy{!jnU+JDn=ulp}&|4ljjZ`%B$ zljZEcymIbo^LAeDkG~ztxu?xPK3&f4>vNQIPn-YqYB{?vubg|@yq%Y`|E8RK+WcSN zO*ePn@pZGDds_V5c{%%UI^4Xc&D(i7`)|s*r_JBlEob-Tm2*#|4ljfwD~u0m$Uow%DJb_-`g!`_vMvyPn);%a`xYpb5EPM^Kx%p9Ll+; z&D(i-`)|s*r_I0pa5=j#ubg|@yq%Y`|E8RK+Wb4$%h`Q-<=oTe-@RPU?#nCZo;Gji z|4ljfwE0i(FK74Vm2*#< zxASuL-;{GtoB!;i z|4ljfw0S!(Xa7w(_q6$Mu9vg>^2)iV&D(i7`)|s*r_FzRvYg$QSI#|c-pD=zueDHAIiC>&D(js-~O9& z?rHOOUe5lTa_(vKc3$q6PY&hW)8>D@T+Z(6bCh#WoB!=(IlC{foO{~5otLx!rks1) zyq%Y`|E8RK+WgJca=(9kDCeFw|LANvyRY{v=bkoi=jH6bDd(Ox|M+}4yDzVtd)mC6 zm$Uz-oO{~*?QS`{FRz??TKwF3$Md=Wro+v9+Ps~Yv;U@?d)oX{_m{K#^2)iV&EI*r zoZXjK&OL43&db?PoO{~*pI6J-eR<{F)8_5Goc%ZD+|%a&`fj?p`;M<$JMi%C{Qmi|f4{l& za`xYJxU~!KTi!o+Ue5lT@^cs7xA{A}TJ!UOD%)`ByKO zv-|SOxu?y)cCwt^m!CWE@b3Kn`O)7$J1=MdO*!|pz2DBuy?%2j=bkoydbOP0*XJnb zo;Lr+*>ZMYe(u1-yYu_!M}K}hFK7QvIrp@^-_Fa~e^YMm!uvM==IwHJUtW3lwE26x z?7qD6 z?rHOOUe5lTa_(vK?_4iu_vPmfJiI%w?7sZmfroSF_s@@dJ1=MdO}V)X z?>p{Cy`7h{|EB!hh4*dV&db?FK7QvdH1yWuOBRD_vMvyPn);%a`xYpb5EPM^K$mzlygs;xASuL-;{GtoB!r| zIlC{foO{~5otLx!rks1){I@5|*?sxB0}t=c@1Gz2`?vFQ_TQ9qPuu(Lyqx_v<=oTe z?Yx}*H|5;Z=Iy+k{Ws;@)8_5Goc%ZD+|%a2KVQ!7%PZ%eHgD(U?7u1Jo;Lr(ZaKRz zubg|@yq%Y`|E8RK+Ps~Y`|;vX&OL4Zr~AwO{Pdxmd)mC6*Zb|iDd(OxZ|CLgzbWUQ zHgD(Ue);53ZtlYSHvjA8a&}*zqr7|C{BI}A*?sxB0}t=c@1Gz2`?vFQ_TQ9qPuu(L zyqx_v<=oTeZ?2a6{o_M9_q6#(XUo}ryTJ!UOD%)`DZSdv-|SOxu?xPd$OF} zmsid`ZQjny*?&{cJ#F63%h`WZ&OL4Z`K#sZzWm&Qhj-`q&yW89+j%+rZ_3SGc;EJZ zJ1=MdO?mgUc{?v>|4q5M3-4R}+<*V+muvq`|HuDp?Z4@`AN%>|&db?ZMYUOD%)c{?v>|4ljf zw0S!(Xa7w(_q6#BZkMzB^2)iV&40LC&hE=A=bkoi=jH6bDd(Ox|LOhZ?7qBm?rHOO zUe5lTa_(vKpMA8P-IrI+J#F63%h`WZ&OL43&db?TxASuL-;{Gtn}6#5a&}){Irp^rI}ew$ z|MJSYr_I}WIs0$Qxu?zFyj(gpIIs0$Q*?-gKADt{`_vPmfJiI%FK7QvdH1w=J1=MdO*!|p`B$!&v-|SOxu?y)dbyn4 zmsid`ZT_{B+Sj z`8Up%v-|RM2Oi#?-#5a-TD3VqyPTzUM^?%<>wAOoIAgNe$?A}Is0$Q&0Tok zaX;$qyqx_v<>xNEZ}WCu&ix-f!pS z?7u1Ro;Lsa$#QmIe(u1-yYu_!N56kNFK7Qvxw#AP+ur}h>2h{oUU~Plc{?v>|4ljf zw0S!(Xa7w(_q2ICFK7QvIrp^rFVC0z>fWK8d)mC6*L&^1DK~fFeVe!Qa`xYppS$qB z&D(i7`)|s-r_F!;U^%-lubg|@yq%Y`|E8RK+Ps~Yv;U@?d)mC6m$Uz-oO{~*H`mMA zeR<{F)8_5Goc%ZD+|%a2Jz37~%g-Hncz1sP{OI4motLx!rks1)-f!pS?7u1Jo;Gji z_q2ICFK7QvIrp@A zJ1_UkCx>!#7v8t|UoV%l`}!Q^-P7iOJ6X=|%g-Hncz1sP{OI4motLx!rks1)-f!pS z?7u1Jo;H7TwcPI?AIiC>%|AL@&hG2|%DJb_+j%+rZ_2r+%|AY0&hE=A=bkoi=jH6b zDK~fFeVf1CEob-Tm3L2zpF8h(KKI{rxU~!K+q|8Zv;U^Nd)oX{_m{K#@^c3s-ksk+ zKlb}OcV5o!n{sy^F0bwVc3#f@oAT~y^LMY8v-|SOxu?xPbGe+|msid`ZT{Jl|4qmJ*v~(AUe5lTa`xY} z`9~+q*?oEC+|%amyxbq-_b27t)8-$aE@$`Um2*#<|MO}&yDzVtd)mC6m$Uz-oO{~* zU*AnPci-`Kvz&Wc{M>mt`)@kjyr<3Ec{%%U%DJb_-`Oo^_vMvyPn);%a`xYpb5EPU z`(Qb{FRz??+Ps~Yv;U@?d)mC6m$Uz-oO{~5otLx!rks1){BzgK*?oEC+|%amyqx_v z<=oTe?Yx}*H|5;Z=Iy+k{Ws;@)8_5G+zU5{a_(vKc3$58n{w`H^LAd&{+n{{Y4dho z&iTJ!UOD%)`ByKOv-|SOxu?y) zcCwt^msid`ZQjny*?&{cJ#F63%e{VcDCeFwe|oi?-Ph+R=bkqI#@TXqUtT%)w0S!( zXa7w(_q2ICFK7QvIrp^rH*c4-`|`@Ur_JBnEob-Tm2*#WI3X==Lczg<1IA$u8U(6} zKw?n$&}v9R5|V7BIapObu&R;D0aEv14pu!WXWc`q^1-S?)JP+`s1(fBB!oZ3ZE4pH zZ87P`QF#>c}|||=q*0CU(Y?p;}x3kUTO2*c{%UDDd(Ox|B2h%dH3a& zb5EQ9Z_2r+&42pdcHVt?<=oTeKXYq4@4mcp z?rHPhc{%UDDd(Ox|CMXodH3a&b5EQ1&dYiKO*!|p`LBL3;q3<^Zt8uIq$#xwD?!fw)5`GPnVl}TD*5&?$ys<=yG#U zi+}CvcHVvcoau6NPmBN6?d`n#^3&z!o)+(&m-GI6bh){w#s7N!K3Q(weUC0T_w;f< z@4TG%-=lxB-+$LXzkGh#`~ST2a^8QBF6aH1pO){xa%(&9zWj8#xu?Z@=jFWr9$jwk zY4P_zvz>Qee!AS;)8f7Ja^8QBE;skIc<;QN_ur$-%{?vNJ1^(`_vmtSPm6!co$b8) z^3&z!o)+(&m-GI6bh){w#e3)Fy#F3uZtiLE-g!Cizekswds@7AUheI8FLb%Nr^S2c z<-PwNU2g7a@!okk@4rWvn|oTkcV5o>@6qMvo)-VWjqSYq^3&z!o)+(&m-GI6bh){w z#lPd$cHVvY>2h;Vi}%jUdH+4S+}zXRz4LPKeDXq8;;y-bF zJMX^ybh){w#eeepcHVvY>2h;Vi}%jUdH+4S+}zXRz4LP3e~&IV_q6y=-`mc+FF##w z?rHI#xwV~lUw*pW+|%N{^K#yQk1jX&wD_-F+s?Z$KV5F_Y4P59Iq$zmmz#T9{8vA_ zop)b;y4>8;;=S{7-hYoSH}|x7@4TG%-=oXTJuUugXWM!A<)_QdJuTilFX#RD=yG#U zi~su7?Y#T))8*!#7Vn*x^Zt8uxw)ssd*|i6{~ld#?rHJfc{%UDN0*y>TKuz_ z7rNZs)8f7J`d;t9N0*y>TD*5&&in7t<>sCi@12+P{(E$}xu?Z{=b7!i`|{J}=AIVs zotN|edvv+Er^S2c<-GqMU2g7a@!okk@4rWvn|oUP_wQ`y-It#(H}|x7@4TG%-=oXT zJuUu6XWM!A<)_QdJuTilFX#RD=yG#Ui}%jUdH+4S+}zXRz4LP3e~&IV_q2HLyqx#n zqsz@bE#5mX=l%ESa&u3MfAPk4-hKJ$a&u3M_s+|C|2?|g+|%NJa%(&9zWj8#xu?Z@ z=jFWr9$jwkY4P59xt~6Hq07xZE&ioz+x_ef7rNZs)8f7J`hM@fN0*y>TD*5&&in7t z<>sCi@12+X`Ij$rxw)ss|KjF$-hKU?>2h;Vi+}lSJMX^ybh){w#e3)Fy#F3uZtiLE z-g!Cizekswds_V6+uQx}ix;}w+|%M;xxSruU*A7nZtiLE-g!Cizekswds_T!H@5Tc z%TJe^ds@7AUe5dP(dFiz7Ju*7cHVvY>2h;VFZc7#yWG709{rR3{=41}^7&=&5A)8; zdH+4SocCXTTE74F*S7QS%TJe^ds_UJ=eP6j%TJe^ds@7AUe5dP(dFiz7JvVp?Y#T) z)8*!#7XQYZ+j;lpr_0SfE&k1C+j;lpr_0SfE#5mX=l%ESa&u3M_s+|C|2?|g+|%OU zdV4$XzWj8#xu?Z@=jFWr9$jwkY4P59Iq$zmmz#T9ymwyC`|r`^=AK^e=l%B|{b&3A zH~pReaKHbi>wek4fAhY}dH+p0@4jjCudLrcoOj=pb5EQ1&da^Je*dJLd)oYKSGV)- z%PZ%eHvg;J+j;lpm2*#<_s+|C|4ljfwE18Ec-*}IuD@=|xu?a?`!47GH(hS-Y4hH9 zIq$zI=bkoy<<@rIeR<{F)8@VNa^8Pa&OL4Z{%5xH?#nCZo;L5Dm-GIca_(vK-g!Ci zzbWUQHt(I6^ZuK1?rHOHxwD;jUtT%)w0ZBmocG_9b5EQ1&dYiKO*!|pdGEZO_urIr zPn-A7%f0>Xc{%sAdGEZu_urIrPn-A7%X$AzIrp@A@4TG%-;{Gtn}6WOcHVt?<=oTe zz4LP3e^bsqZT=m%w)5`GE9agz@12+P{+n{{Y4hHBxpzK!Ud}yj-a9Yv{Ws;@)8@VN za^8Pa&OL43J1^(`H|5;Z=HGQ^JMX@{a_(vK@4mU6cVAvP_q6$k&bIUJ%PZ%eHt(I6 z^ZuK1?rHPhdAW!0o|kh^o4Z_2r+&3otN zy#J=0d)oY?_qOxy%PZ%eHvibI?Y#T)%DJb_d*|i6|E8RK+PrsO?(rwj%ekk`d*|i7 z|E8RK+WbeJ-_E-)ubg|@ymwyC`)|s*r_F!#&UW5?dF9;G=0A3GJMX@{a_(vK-g!Ci zzbWUQHt(I6^ZuK1?rHPhc{%UDDd(Ox|B2h%dH3a&b5EQ9Z_2r+&42pdcHVt?<=oTeKXYq4@4mcp?rHPhc{%UDDd(Ox|CMXodH3a& zb5EQ1&dYiKO*!|p`LBLI6B-GBeP7rNZs)8fDR_;%mA??RWGds_Urp4;xZ-@nl1=AIV+?I*VT;|DKv zxw)ss|M5%P{SSY5q07xZE&lnZw)@Vj{%{?vthc9gRKmNgm zE;skI_#gewb}zjDLYJF+TKo&IZudX^(SSmz#T9{Er{l?#C}) z=yG#Ui~nDr-tK?<-3wiA?rHIV^7wWy-glwP%{?vt#pkyB-+%u?mz#T9{6BwUyPrIG zq07xZE&eAjZTElt;e{?Y_q6!`^QrCrua95oa&u3M|LL2y`{{Qtbh){w#lQ5W?SA&T z3tevRY4QK!q3!;~%NM%b+|%O!?`OBW^MwmtZtiLEKmXKrzxd4yU2g7a@xORsyFdMd z3tevRY4I=r&UXLu{TI62+|%O!<*VEMKYw(g%gsG4{{Q{G?e6~eg)TSuwD?~>u-z|T zywK(5o)-Vg)7$;4-@VY~=AIV+>f_tJcHf0AH}|yo*Ph$%SHFLu%gsG4{{MSoyL%5_ z=yG#Ui@*2McEA3^3tevR>E-^fz3!=Tf9+rU_=SG&b^D$cf8U$7yYIUfy4>8;;$Q!z z?XG<8LYJF+TKu1RXuCi2@`Wxp_q6yoJiFcfU%1fa=AIV+XFs*w8-Mdcmz#T9{2O1` z?oEGiq07xZE&k2Fv)ymJ|3a6Wds_T&yt>_6{^&xNn|oUP+3#)l*5AI+<>sCi|K}dq z?$5n=q07xZE&gp!Z}*$Od!ftCJuUuUe|)>Q-*=(Q%{?vt?ayuZZ~Xp+E;skI_ z?f(3O7rNZs)8ha9OWXZhe|VwG%{?vtFFdu~10TQ8<>sCi{}e{iA8%{?vtul&w-fA#$ry4>8;;{WQa+r8_LE_AuMr^Ww!zqj4HfBQn0n|oUP zdmh;CJuhD9a&u3Mf9UD${@U+e=yG#Ui+}Iq+dX{Wg)TSuwD^ag+wSV`U+8jkPm6!w z6WcxV;Ds(X_q6y&UfS-j|KWu$H}|yo_dm7W2R?qG%gsG4{?RvW_vm*obh){w#eeWi z+dcNV3tevRY4LyKq3!<0%NM%b+|%Me^z3%O^@R&vZtiLEkAG^r5C7(cE;skI_z%CZ z-ADf5LYJF+dbywd#6S2~dq0u>!~b^gC(?DV`w7l|BIWES(&k?|+s=N1SI#|c-cEvh z_4DWD+|%Y?ySkm-grB3Fd)oZ3Zf|Ed!7JyUHg6}v*-xaLd)oZ3e>`q>6YH;=a_(vI zvy?cyrJ#GGh z8{64U@XEQT&D%+E_7f@Ro;LrETie-9@XEQT&D%+E_7f@Ro;GhM!M*dz^K$NK^L7%v z{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&{JZXKXE(ts=bkqI?wi}$P4LROr_Dcfww>Js zubg|@yqyGRKaq0oY4dgx+{1Uz%ekk`U%kDZ-GrZ`oO{~*BiFaHo8XmmPn)-s;Or+- z&OL43PJ*+aNICbk`A6?>?U~S+|%Yid3`&(30^t(w0S!T z&VC~0+|%amBslwtlygs;|Mb1>>?U~S+|%Yib89=h30^t(w0S!T&VC~0+|%a2a&0@i z30^t(w0S!T&VC~0+|%a2`q}O5CV1uC)8_3YIQxl|b5EPMli=(pQqDbX{%dF3*-h}u zxu?zBNpSWPDd(Ox|Mjce*-h}uxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHPi zy0P7JkDZruPn)-s@V)jEDd(OxZzsXoPo$iC+Ps|vXFrj0?rHPid1gDi30^t(w0S!T z&VC~0+|%amBslwtlygs;x0B%PCsNKmZT|arwzHeym2*#*gmvc{>x0CSw_7f@Ro;GhM!P!rwoO{~5odoyuFQ1olPn-Y6&F$f>+KxEq-+{)9q|41cZQf3T zv!6&g_q6%fU)#=Zf>+KxZT`yh+u2R<%DJb_+evWt6DjAOHh=$}?d&Fa<=oTe-*|I7 zy9r)7_q6#ppKWJ1!7JyUHg6}v*-xaLd)mC61ZO{ya_(vKZ@s;p-2|_kd)mC61ZO{y za_(vKb`qTZM9R6R&D%+E_7f@Ro)$kliGT2)?EOUg5C8qWpGepJviINFNpSWPDff-1 z*Y}^={5K!p&VGVd&OL43PJ*+aNICbk`ENh5o&5x_oO{~5odjn;k#g>7^UpuEo&5x_ zoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkqIy=S+xpWu~qPn-Y2r?#`7;FWVv zoB!bp+u2X>%DJb_+evWt6DjAOHvht_+u2X>%DJb_+evWt6DjAOHg6}v*-xaLd)mC6 z1ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*S zr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXo zPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7@w1aypU-|GU2g7a^L7%P z{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox zZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHvhJ#x3iz%m2*#?cyrJ#F4jg0r7UIrp@A zI|Ttej?@E)8_3YIQxl| zb5EPMli=(pQqDbX-cEwEpGZ0PwE6cuu$}z`ubg|@yqyGRKaq0oY4h)We7lG5J1^&+ zHg6~4d+jGu&OL4ZeNSxn$b;wQ+|%amBz&*^M9R6R&A7^B;P4yWjf4c{%sAc{>T;Yd?{4?rHNMeqlTN z30^t(wD{RieEuKp{Y3f?{`%fer0ag!pP$W6g0r7UIs1vU`B%=ivzy>&N3py+fBO8= z@3)iSUj6)eIrp@E|7%ybvzzd9lygs;|JCj7>?U~S+|%amBslwtlygs;|Mic@&2D1- zb$ds#ygPsT{IdW4W+%bfPo&H3UB#*8`)4P?*-xbW>?%%e{>rWG>?ZixQ7q@~=bS#j z^w)1E!P!rwoO{~7|NdvTvzy?Rb5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%aY za%Vfc30^t(w0S!T&VC~0+|%amBslwtl$%|}smA!z=5}f@+%DJcQ z``>YEJG%*9Irp@AI|>-km>ve(CQ&I|(}zej?@E z)As##5}f@+%FV9g)aD<(x1HStue^KO{A0Javzy>&N3py+fBO8=zkfRk&VC~0+|%~` zb`sp>&fU*BeSYb$|C86Zvzy?Rb5Gm%+evWt6DjAOHg6}v*-xaL zd)oY`?`>x{!7JyUHvgGh+u2R<%DJb_+evWt6Dc>lic_2a%C+t6CV1uD)8_3YIQxl| zn_b1J&42Z?+u2RTtej?@E)8_3YIQxl|b5EPM zli=(pQqDbX{#!S;d+xFGa_(vKb`rkVej?>&S8;0db`qTZM9R;u;?(BtBslwtly^^? z|IRbp*-h}uxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHPizq6g)1h1TX+Ps|v zXFrj0?rHNsI@``}f}b75^6vcU^GpBzx0B%PCsNKmZQpMv!P!rwoO{~5odjn;k#g>7 z^L7%P{Y1*Sr_I|*aP|`^=bkqI;*IU>CV1uC)8_3YIQxl|b5EQ9$*t||CV1uC)8_3Y zIQxl|b5EPMli+^(i^K$NK^RHar&Thi@E9agzZzsXoPo$iC+Wc!bwzHeym2*#l zic{P7+evWt6DjYWHg6}v*-xb0>?%$ze)bc8|6lC=MEd#vdhaLFb-(QIe|8d_{Y1)r z?!26P+Ps|vZ$FW8?rHPidv-g!30^t(wD})=YCF3L zUOD%)`5(Tpo!tbloO{~5odjn;k#g>7^Dn%*o!tbloO{~5odjn;k#g>7^L7%P{Y1*S zr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXo zPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^ z=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(ORKRb!_`Rpgs<>sC? zZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{y za_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^KW~4JG%*9Irp@A zI|Ttej?@E)8_3YIQxl| zb5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3T zv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6sn}5#(+u2R<%DJb_+evWt6DjAOHvitow|n@$ z^K$NK^L7%x*M1`9+|%aY_r!LOJa}HtJ#F4j!uQ%wq?~)&{QIBU?gJk`FXx^%|LB{x zvzze!%DJb_fACA&*-h}uxu?zBNpSWPDd(Ox|Dk8M`>ijWmvc{>x0CR__7f@Ro;LsC z7q+vT;FWVvi=X|(-}}+tPo#hUzufzYblore^RwAWaP|`^XFriP|H|2Rb`!jE?rHOO z65Oln&tFr{J#GHAtJ~R4@XEQT&Hw85c6JlIa_(vKb`qTZM9R6R&Hwtx<7PLp{<7^Y6H|o!tbloO{~5odjn;k#g>7^L7&4JD)r+=bkoi zC&AlKq?~)&yqyGRKaq0oY4dgxoc%<~xu?y)>&|v|6TEWnY4h*Cxt-kvubg|@{6lBk z*-h}uxu?zBNpSWPDd(OxZzsV$eD}PZd)oZf+uPYq_&LhCr_DcdeLK4eUOD%)c{>Tt zej?@E)8_3YIQxl|b5EOp^xk%M6TEWnY4eZW+Rko*SI#|c-cEwEpGZ0Pw0S!T?(rwj z%ekk`+ez^D6DjAOHvf_5x3inzm2*#?U~S z+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q6#>+}_S^f>+KxZT^$jx3inzm2*#< zx0B%PCsNKmZQf3Tv!6&g_q6#>-`mb^f>+KxZT>U2wzHeym2*#6Q zwzHeym2*#1h1TX+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAO zHvg>~+dcQ#c{%sAc{>T;Yd?{4?rHOO5}f@+%DJb_+evWt6DjAOHvgSxwzHeym2*#< zx0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTezkg>ty9r)7_q2IC3C?~Z<=oTee{{B; z-2|_kd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7 z^Do}m&TfKN&OL43PJ*+aNICbk`Jdd{&TfKN&OL43PJ*+aNICbkc{>U2r%#@jb5EOp z>DqQbd&7A-_q2IC3Eyu&k#g>7^L7%P{Y1*Sr_I|*a6kX@c{%sA`Cr`J&ThibQO-SW z{^hgn>?U~S+|%amBslwtlygs;x0B%PCsNKmZT{}i^K$NK^RHar&Thi@E9agz zZzsXoPo$iC+Wc!bwzHeym2*#7@w1=!d;ihiPo#hUuk8Iqy6%^~|ISW=v!6)0 zZ#=!e|J3Hc`S^Br6a4HbmUHJ%pI`d@b`qTZM9R6R?fbv|#CCQQymIbo^L7%P{Y1*S zr_DeA)OL0gymIbo^L7%P{Y1*Sr_I|*aNqshdAZqDoZ7sd1aCi)^6qK#-+OjDy9r)7 z_q6#Rd}=$p34V4I%e(WZ&oBM`^TQXmvzy?Rb5Gm%+evWt6DjAOHvht_+u2R<%DJb_ z+evWt6DjAOHg6}v*-xb0>?%%e-cEwEpGbN4w0S!T&VC~0W>;})^L7%P{Y1*Ur_I|* zaP|`^H@k{co41qT>?cy*J#F4jg0r7UIrp@AI|?cyrJ#F4jg0r7Ux!F~m+Ps|vXFrkh?rHOO5}f@+%FV9g)aLCZIQxl| zcTbzQli=(pQf_t?r#5dV!P!rw{Ol@DEq-+{)9q|5JJ#i`BPNpSWPDes;(ZzsXo zPo&)JDo$Ttej?>&S8;0db`qTZM9RCT&D%+E_7f>LyNXkrx0B%P zCsKZP6{j|DC&AfIr2OnEPHo;!g0r7UdH1w=I|Ttej?@E)8_3YIQxl| zb5EPMli=(pQf_t?r#5dV!P!rw{Ol@DZQf3Tv!6)$*;Sm{yqyGRKauk8Y4dgxoc%<~ zxu?zBNpSWPDd(OxZzsXoPo&)JDo$v!6&g_q2WgdmrEK;rq_Zxu?zBN%&s-iIj6sn}6RE+dcB&c{%sA zc{>T;Yd?{4v#U6@`S(Ay-3LB?Ufw-z{?RvWXE)*dXGgKTJAeB8(tmy*{L*%I6a4Hb zmUHJ%pI_?jBslwtl$%|}sq22J|IoAB{ni)G%e$w|+e!Fd`-zluPn-Yn3)|UE@Ux>> z-km>ve%Y^o_7i{ilY2jr{=K*D{Y1L%mwG!1&VC~0+|%Y?Ior-|f}b75^6vcU^Gm?cyrJ#GHiKOQ%`iS^g* z9mVqQ{OR*6Z+qSAuKd~8z3vTfx$kxV!Ry{|cHisX`?~l3x!3QV1ZO{yF1L3Tr+KxZQf3T zv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6sn}5rl?d&Fa<=oTe?Ibw+iIj6so41qT>?cxg zb`_^KZzsXoPo%ti+Ps|v_x8Kz<=oTe?Id{niIj6so41qT>?cyrJ#F4jg0r7UIrp^r z2X1UU2 zoll;Zb5EPMli=+qQf_t?r#5dV!P!rwynEWbodjn;k#g>7^Y6N|o!tbloO{~*yKio1 zH^D3Co;Lr`*>-jl{Ol-}cjr%^U%Bk}pPd9}Kaq0oY5RUV3GU&$=jGhf=C9t~&Thib zQO-SW{*mk3*-h}XqgdXZKYf1XvcG>j3C?~Z<=oTu{dN+Z{Y1*muHw|@AHBDo-2|_^ zd)oYCx3;sJ;Acm%ygPsT{K{p2|8^3b{Y1*Sr|tXgB)G?)JTK>-Hg6}v+fSt2>?%%e z{v*$CXE(ts@18bqC&AfIq?~)&{73I>XE(vmj$(Ot{`C2k%l`ZS*v;+iCivM=Ea%Rj zKEKr4NpSWPDL1={Q`h}cZzsXoPo(_pDo$+Zf|Ed!OxCjId?zj z^!cT~{!d=t&TfKN&OL44ZzsXoPo$iC+Ps|vXFrj0?rHO%zPFv-1h1TX+Wcp3ZD%*Z zE9agzZzsXoPo&)JDo$?cxgb`__#@3)iS>?cy*J#GGLXWQ9L@Ux>>-km>ve&w=XznuhUKap~? zt2niN|JSc>XE(ts@18bqC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?y4>&AA^J$7Es zJ#F4j!uQ%wq}=Q(PHo;!g0r7U`Po&R+Ps|vXFrkh?rHPid1gDi30^t(w0S!T&VC~0 z+|%amBslwtlygs;x0B%PCsNKmZT|arwzHeym2*#;})^S`*co!x|= zqr7|C{L5$C*-h}XqgdXZKYf1XvVZ^WBslwtlygtp_uENu_7f@Ro;H8?_IAJg;(0mu zwE0)AZ)Z2*`;~J~o41qT>?cyrJ#GHA8{64U@XEQT&D%+E_7f>LyNXkrzjtdpy9r)- z_q6!gNvzLjKanoCcNM2LZzsXoPo%ti+WhOUZD%*Z&yHewcmDMGl}mpA&Q5}}n@G7U z&u_2o`|Tt+`-zlyPn*C0&USVaymIbo^KZPlo!tbloO{~*o6okho8XmmPn)-s;Or+- z&OL43PJ*+aNICbk`M2KQ&TfLA9mVqQ{OR*6m;Lj%li=(pQf_t?r?&66li=(pQr?c0=$9q4K{@wq4?E{NQ;x_q2IC3Eyi!k#g>7^UpuEo!tbloO{~5odjn; zk#g>7^L7&4cRzPt&OL43PJ*|eNICbk`R_fuo!tbloO{~*4?eY>-2|_kd)oXDU)auW zf>+KxZQf3Tv!6&g_q6#JUfs@af>+KxZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6s zo41qT>?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%am zBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyr zJ#F4jg0r7UIrp@AI|p6X|kuPn)-s;Or+-&OL43 zPJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0 z+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q6%9J-waX1h1TX+Ps|vXFrj0?rHOO z5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@R zo;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGR zKaq0oY4dgxoc%<~xu?y)=Yj3)CV1uC)8_3YIQxl|b5EOp@8jD&eBXIF_q2IC3Eyi! zk#g>7^Y43NyGI^8FXx^%Zzth-jlymIbo^L7&4tLx8SQ_ekY z{h^Ya6TEWnY4dgxoc%<~xu?zl`p4sDH?jV@Dd(ORKRXG|ej;6N z?rHOO5}f@+%DJb_U%9oN-2|_kd)mC61ZO{ya_(vK_dm0p-2|_kd)mC61ZO{ya_(vK zb`qTZM9R6R&D%+E_7f@Ro;LrMJKNb!@XEQT&D%+E_7f@Ro;GhM!P!rwoO{~5odjn; zk#g>7^L7&4+wY#2b5EPMli=+qQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%YCxUrqx1h1TX z+Ps|vXFrj0?rHPyxV4?#1h1TX+Ps|vXFrj0?rHOO65KnVJTK>-Hg6}v+fSsNd)mC6 z1ZO{ya_(vKb`qTZM9R6R&A;o;c6JlIa_(vK@4mU6-2|_kd)oX%XWQ9L@XEQT&D%+E z_7f@Ro;GhM!99HUyqtU5{MFmr*-iL4%DJb_KXQFLy9r)7_q2IC3C?~Z<=oTe?Ibw+ ziIj6sn}77)c6JlIa_(vKkKNkNZh}|NJ#F4jg0r7UIrp@AI|=UbC(p~dr_I|*@b(ia z=bkqIk>|Iwo8XmmPn)-s;Or+-&OL4Zqj$Emo8XmmPn-YP&F$7^IyNZo!tbloO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkqItsC1t_t<$k z_q2IC3Eyi!k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkqIooBYQo8XmmPn)-s;Or+-&OL43 zPJ*+aNICbkc{>Ttej?@E)8@Z_XFIzIUOD%)c{>Ttej?@E)8>D4ww>Jsubg|@yqyGR zKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHNc-q_A=f>+Kx zZQf3Tv!6&g_q6$++}h4=f>+KxZQf3Tv!6&g_q2IC3GSy)o|kh^n}6xrc0YT=c{%sA zc{>T;Z$FW8?rHOO5}f@+%DJb_+evUg|MGb`_q6$6+}zG?!p~98J#GHwv+e9Ac;(#F z=Itan`-zluPn)-s;Or+-&OL4Z?(OY<`Ni{c?rHO{T;I-a!uKoZo;GhM!P!rwoO{~* zYd5yDo8XmmPn)-s;Or+-&OL4Z-mUHICV1uC)8c0*u|A*uM7rGE)8_3YIQxl|b5EOp z{k84vCV1uC)8?-{zn%RAubg|@yqyGRKaq0oY4i8r+0Jf)SI#|c{*5=cvzy?Rb5EOp z^VxQG6TEWnY4dgxoc%<~xu?zBNpSWPDd(Ox|JK{v*-h}uxu?zBNpSWPDd(OxZzsXo zPo$iC+Ps|vXFrj0?rHI}pZGf;+53s~b656$B3<{(-hXE&!P!rw+&7+H-+yZJ-+X*K zy9s`F6wA5ur_V3_eme=yej?@E)As$}equZO30^t(w0S!T&VC~0+|%Zte`-6s30^t( zw0S!T&VC~0+|%amB)IQ>?!4UWDo$-2^{7 zisjw;)907|{`uhx+u2R<%DJcQ`|Tt+`-zluPn&lic_1nli=(pQrl zic_1nli=(pQhs(7rxrguiS_yHC(`BjuHw|@?Ibw+iIjIwo41qT>?cxgb`_^KZzsXo zPo%ti+Ps|vXFrj0v#U6@c{>Ttej?@F)8_3YIQxl|n_b1J&D%+E_7f>TyNXkrx0B%P zCsKZP6{j|DC&AfIq`Z6DyqyGRKap~?t2nj!w>`a`-2^{7isjt>oYUu*{qL{YNpSWP zDL1={Q``63NpSWPDes;(ZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6Dc>l zic_1nli=(pQhs(7r#5dV!P!rw{Ol@DZQf3Tv!6(L_q2IC3C?~Z<=oTe?Ibw+iIj6s zo41qT>?cxgb`_^KZzsXoPo(_pDo$ve(68I4}NJoy9s`F6wA5ur_V3-b`qTZ zM9R&s;?#A&)PLyN?SAVE=jGkg=ItbWul+>Ixu?y4_=WB4CivM=Ebq>rKELeOKl_Ql z{hqy_IQpl1Kk>KI_gwn-KRXG|e&XnI_7nWH_*c%hvzy?j%gsG4-cEvh_460H+}zXR zU%R@U-GrYrU2g7a@xQvgo!taKU2g7a@pclN{lwAb=AIV+>-FcX%gt`$=yG#UFZZ*P z;Or-k{^{ONtbcy_{IcIavyKfzDS_g}fSo!taKU2g7a@pclN{lwAb=AIUR z|1;a!P4Ls@=AIUBC&AfI99?eiY4LUvoc+Yn<>sCiZzsXoPaIut?rHIFxwD!M*+Ng)TSuw0JuS-hSff za&u3Mx0B%PCyp*R_q2FB3C@1v=yG#Ui+|w8c6Jl|bh){w#oI}6_7g{!n|oUPJ8o@f zH^EPrn|oTkodjn;adf%4r^VYzaPNHbLYJF+TD+YEZ$EK#xw)ss+evWt6GxYuds@7m z1ZO{Sbh){w#lP#$c6Jl|bh){w#lQRJc6Jl|bh){w#Xoemo!taKU2g7a@pclN{lwAb z=AIUBC&4{@_d=JOds_U}+uPYq_&L+%=AIV+$o1{)Civ-cb5D!6li=(pjxIO%w0JuS z&VJ(Pa&u3MfArpVb`$(`xw)ssKXz+7y9s`}+}zXR?Ibw+iKENSJuTi&f_wbQ3tevR zY4LUvy#2({<>sCi|B>gnvzy?j%gsG4-cEwEpE$bQ+|%MedS^Sk34XfV+|%Mec5^$s z34XfV+|%OiBslwtqsz@bE#6Lov!6J++}zXR?Ibw+iKENSJuUtdx3{yK;HS&YJuUu| z*SE8q;HS&YJuTi&g0r7Ey4>8;;_W0j`-!8=%{?vt)AzQso8YI*%{?vtGq<+0o8YI* z%{?vNPJ*+aIJ(^2)8fB!Z9BUOe!AS;)8g$UIQxmC%gsG4{;Qwe&TfLAE;skIcsmKs ze&XnIb5D!6li=(pjxIO%wD_-`ZD%*ZPnVl}TD+YEXFqXtxw)ssfBouqb`$(`xw)ss z+evWt6GxYuds@7m1ZO{Sbh){w#oI}6_7g{!n|oUPw{C3r++!EI+}zXR?Ie7!{lwAb z=AIUBC&AfI99?eiY4LUvoc+Yn<>sCi|D9*Hvzy?j%gsG4-cEwEpE$bQ+|%OiBslwt zqsz@bE#6Lov!6J++}zXRzkg>ty9s`}+}zXR?Ibw+iKENSJuUu6XWQ9L@YChyo)&K> z!P!q7U2g7a@pclN{lwAb=AIUBC&AfI99?eiY4LUvoc+Yn<>sCiZzsXoPaIut?rHHa z-q_A=f}buo_q2FB3C@1v=yG#Ui~q^3?d&G_>2h;Vi?@^D>?e*cH}|x7I|=TmPhRM9 zb5DzZ>DqQbd&7k;H}|x7I|<)!KXG)qxu?b3NpSWPN0*y>TD+YE_wz4b=yG#Ui~q&V z?d&G}oau6NPm6!~Y&*LNe!AS;)8g$UIQxmC%gsG4-cEwEpE$bQ+|%Ok-rnw)U%b%e z=AIV+%JuE+CVc;Nxw)ss+evWt6GxYuds_T!H@35z;HS&YJuTi&g0r7Ey4>8;;_uzs z&TfLAE;sk|az8tXa^UK~JW+%bfPaIv&euAHt?|=QZ?d&G_>2h;V zi@);xc6Jl|bh){w#oI}6_7g{!n|oUP{dcyro8YI*%{?vtjW@Tmo8YI*%{?vt&1c)$ zP4Ls@=AIUBC&AfI99?eiY4LUvoc+Yn<>sCi|JK{v*-h}%<>sCiZzsXoPaIut?rHIM z5}f_S(dFiz7H=oP*-so@Ztm&je)bc8>%ZFjiS%#($9q4KuKQ*G{>@H;v!6)0Z#=zz zJ*PJR&BwR1o8XmmPn)-s;Or+-&OL4Z+fQs~H^D3Co;GhM!P!rwoO{~*^G|JOH^D3C zo;GhM!P!rwoO{~5odoyY&z+ZZPn)-s;O!?;&OL4Zd(UoXH^D3Co;Lr3Pi<#6!7JyU zHvhvHwzHeym2*#?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwE zpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe z?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@AI|8;=Itan z`-zluPn)-s;Or+-&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX z-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZT@XfZ)Z2bE9agzZzsXo zPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^ z=bkoiC&AfIq?~)&yqyGRKaq0oY4h)SU^}}BUOD%)c{>Ttej?@E)8^m%_;wH9cV5mt zZQf48_u5aSoO{~*`<~eDkq6Jqxu?zBN%&s-iIj6sn}7dP+kN2U=jGhf<{y32c6JlK zUpe=*`44_+JG%*9Irp@AI|*g`a63+k*@n?e||Rm2+n>Ys@+q?~)&yqyI1 z>iYB7lygs;f9>jab`!jE?rHPCy1kv<1h1TX+Ps|vXFrj0?rHPC{_(ikPprRg%DJb- z&pv{)pGcRRd)mBx1ZO{ya_(vKS8i=*H^D3Co;GhM!P!rwoO{~*{m*P?H^D3Co;GhM z!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I0R&USVaymIbo^L7%P{Y1*Sr_I|*aP|`^ z=bkoiC&AfIq?~)&yqyI1_Pgih+|%amBzXIYlygs;x0B%PCsNKmZQf3Tv!6&g_q6#3 zZfs{a!7JyUHg6}v*-xaLd)oXvZf$2b!7JyUHg6}v*-xaLd)mC61ozG-&&#=|&D%-v z_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^Y6N|o!tbloO{~*yKio1H^D3Co;Lr`*>-jl zymIbo^L7%P{Y1*Sr_I|*a1Y--FXx^%fA#iub`yS%a_(vKk6ho*Zh}|NJ#F4jg0r7U zIrp@AI|Rb33~UUOD%) zc{>Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX{u8&ivzy?Rb5EQ9SwpJo8XmmPn)-s;Or+-&OL43PJ*+aNICbk`LCUAXE(ts z=bkoiC&AfIq?~)&{MWB;XE(ts=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?y4 z>&AA^J$7EsJ#F4j!uQ%wq?~)&yqyGRKaq0oY4dgxoc%<~xu?y4=b7#7CV1uC)8_3Y zIQxl|b5EPMli=(pQqDbX-cEwEpGZ0PwE6Gf+0Jf)SI#|c-cEwEpGZ0PwD}*MZD%*Z zE9agzZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)oYq zH@35z;FWVvo41qT>?cyrJ#GFcx3;sJ;FWVvo41qT>?cyrJ#F4jg8S){=jGhf=3lzD z-Ot`|Ud}yj-cG{z+fSsNd)mC61ZO{ya_(vKb`sprzkFWKJ#GFMH@CB!@N<-NPn&=F zY&*LNUOD%)c{>Ttej?@E)8_3YIQxl|b5EPUdwaWIe(}7Vd)oXf*SE8q@cqiUr_I|* zaP|`^=bkqI+Kuh(CV1uC)8_3YIQxl|b5EPUcWXPl30^t(wD{Ratj}jZkuEp)w0Zjo z&VC~0+|%Y?e{DOv30^t(wD~K~Z)Z2bE9agzZzsXoPo$iC+Wh@@wzHeym2*#?U~S+|%aYe72q41h1TX+Ps|vXFrj0?rHOO5}f@+%DJb_zxDQZb`!jE?rHOO5}f@+ z%DJb_+evWt6DjAOHg6}v*-xaLds_VLCqDa^_I~2%Ki~U_&!&Ir()ZulNpSWPN02h;Vi?@^D>?e*cH}|yoZ$Gh}-2^{fZtiLEb`qTZ#L?yEo)-W7 zQ`^~1@YChyo)&K>!P!q7U2g7a@pcm2cRzQb%gsG4-cEwIpE$bQ+|%N}_w06d6Z~|! zxu?bd;8WY#P4Ls@=AIV+!xy%*o8YI*%{?vNPJ*+aIJ(^2)8b!vbvwHWe!AS;)8g$U zIQxmC%gsG4-cEwEpE$bQ+|%OiBslwtqsz@bE#6Lov!6J++}zXR?Ibw+iKENSJuTi& zg0r7Ey4>8;;_W0j`-!8=%{?vNPJ*+aIJ(^2)8g$UIQxmC%gsG4-cEwEpE$bQ+|%Oi zBslwtqsz@bE#6Lov!6J++}zXR?Ibw+iKENSJuTi&g0r7Ey4>8;;_W0j`-!8=%{?vN zPJ*+aIJ(^2)8g$UIQxmC%gsG4-cEwEpE$bQ+|%OiBslwtqsz@bE#6Lov!6J++}zXR z?Ibw+iKENSJuTi&g0r7Ey4>8;;_W0j`-!8=%{{%`&rV{w*-sq(=X*b~{`XTpzwH12 zZgvu!{lwAb>?inX`F=YI&VJ(Pa&u3Mx0B%PCyp*R_q2FB3C@1v=yG#Ui?@^D>?e*c zH}|x7I|sCiZzsXoPaIut?rHIM5}f_S(dFiz7H=oP*-so@ZtiLEb`qTZ z#L?yEo)&K>!P!q7U2g7a@pclN{lwAb=AIUBC&AfI99?eiY4LUvoc+Yn<>sCiZzsXo zPaIut?rHIM5}f_S(dFiz7H=oP*-so@ZtiLEb`qTZ#L?yEo)&K>!P!q7U2g7a@pclN z{lwAb=AIV+o(HzGo8YI*%{?vNPJ*+aIJ(^2)8gOz_;wH9ccIJ8JuTi&!uQ%w99?ei zY4PuSV!KBkywK(5o)&K>;d|{TjxIO%wD|WwwcQ6kexb|FJuUvxH*IG(;rpk{%{?vt zgJ0UtZi1gKH}|x7I|KfzCnf8}gD`w4!!+}zXR z?IgHYKYyXi%{?vtwX56NP53#}<>sCi|Et^E*-h}%<>sCiZzsXoPaIut?rHJAUVqNI z-0UWfE;sk|az8r>&VJ(PKi&I@_0KP#U-rM>XD7kgPaIv&euAHt@4s?uJG%*fy4>8; z;_W0j`-!8=%{?vt{%5wco8YI*%{?vNPJ*+aIJ(^2)8g$UIQxmC%gsG4-cEwEpE$bQ z+|%OUa%Vfc34XfV+|%OiBslwtqsz@bE#6Lov!6J++}zXR?Ibw+iKENSJuTi&f_wYj z3tevRY4LUvy#2({<>sCiZzsXoPaIut?rHIM5}f_S(dFiz7XQGF?d&G_>2h;Vi?@^D z>?e*cH}|yocih^}Zi1gKH}|x7I|2h;Vi+}gc?d&G_>2h;Vi+|{BJG%*f zy4>8;;_W0j`-!8=%{?vNPJ(;*?u9Nl_q6z{x3{yK@N=fi%{?vtk?Y&pP4Ls@=AIUB zC&AfI99?eiY4LUvoc+Yn<>sCi|LDE#>?Zi>a&u3Mf9%$Fb`$(`xw)ss+evWt6GxYu zds@7m1o!xp7rNZs)8g$Uc>9T?%gsG4{v*$CXE(u5mz#T9yqyGRKXG)qxu?Z{^v-s6 z6Z~|!xu?Z{?B;fM6Z~|!xu?b3NpSWPN0*y>TD+YEXFqXtxw)ss+evWt6GxYuds_S_ zZf|Ed!B3Z)ds_S_uWx5J!B3Z)ds@7m1ZO{Sbh){w#oI}6_7g{!n|oUPr|)fNH^EPr zn|oUPXKrn0H^EPrn|oTkodjn;adf%4r^SEe+IDsm{B*gwr^VYzaP|{Nmz#T9{8vA_ zo!taKU2g7a@pclN{lwAb=AIUBC&AfI99?eiY4Kk>+s?Zi>a&u3Mx0B%PCyp*R_q2FB3C@1v=yG#Ui?@^D>?e*cH}|yoZ{67LxyLSa zxw)ss+e!Fd`-!8=%{?vNPJ*+aIJ(^2)8g$UIQxmC%gsG4{yWcXXE(u5mz#T9yqyGR zKXG)qxu?b3NpSWPN0*y>TD+YEXFqXtxw)ssfB(*Qb`$(`xw)ss+evWt6GxYuds_UD z&bG6g;HS&YJuTi&g0r7Ey4>8;;_W0j`-!8=%{?vNPJ*+aIJ(^2)8g$UIQxmC%gsG4 z-cEwEpE$bQ+|%M;ys@3#1V3GF?*BjE_7n1VefN8wQ88FgFA>}vp$lDG?c9pWo~I%jWl5J?Z}yr;!~d~vx??!T+U&3jtBorL$>Pi!4--qYgk zBslwtt;5ZGTD+YE_v!0*b+~y?i~sEUa&{9wXLh)GPmBNjXgRwHes;KdPm8yc;Or;1 z4ma;<@pclN{lwPc<~=R`_Q`Tzyn0uMoA0v*262r~?#Mb|J?I*^+ALRL= ze}9-e3C@0E>u~lH{A_vuy%(3Wo8V`MoA2h`x{OoY^o)-VW_2uj)_}Ss+JuUvhqvh--_}Ss+JuTi&g0r94I^4Xc#oI}6_7hu& zoA!P!r29d6#!;_W0j`-!c?&3k&-&;7)I z{9o37BK>dwVeKcG`-zl$^Tzmkc5VKx3(MI}@XEQT&D%+E_7f@Ro;Lr^ z<>l-qc;(#F=Itan`-zluPn*AaZ8^IMUOD%)c{>Ttej?@E)8_3YxOZRKmvc{>x0B%Q zCsNKmZT`JCmb07Sm2*#?U~S+|%YixV4+KxZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@A zI|Ttej?@E)8_3YIQxl| zb5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3T zv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@AI|xC(_~OJ#F4Tg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0P zw0S!T&VC~0+|%YCzOkI$1h1TX+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v z*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7 z^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?xP@#u1P z6TEWnY4dgxoc%<~xu?xPd11M;_w38Lr_I|*c(46L%DJb_KXrMzryt*!b5EPMlki^q ziIj6sn}6ooa?ifFFXx^%fBsv`*-d!Aa_(vKKls&hb`!jE?rHOO5}f@+%DJb_|L~3F zp8w^(oO{~5orL$=Po$iC+We1hEoV2uE9agTKlc;=;eS~BiS$3du=W$_xF7od+1y8P z_7f>*H<32~<@o+9XE%{@?rHOO65Lng`>!eIo;LsWx#jF8c;(#F=D#^v&TfKN&OL43 zPJ*+aNICbk`M>^oy1AbiKW>(DPm7=X2+n>Y9d6#!=ItXm`-zluPn*B*csaWXUOD%) zc{>Ttej?@E)8@~-ww&Drubg|@yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox|LxP| z>?U~S+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3GR{G`*QAS^L7%v{Y1*S zr_I|*aP|`^=bkoiC&AfIq?~)&{G(Tvvzy?Rb5EPMli=(pQqDbX{yWFZ*-h}uxu?zB zNpSWPDd(OxZzsV$c6DFQJ#F4jg14VYIrp@AI|2h`x zymIbo^WVF^oZSSkoO{~*_m7sdo8XmmPn)-s;Or+-&OL43PJ%modtc5yZT{TJa&{9w zM>+Sj`KK=}XE(ts=bkoiC&AfIq?~)&yqyGRKaq0oY4hjrEN3^tE9agz|J?C%b`!jE z?rHOO5}f@+%DJb_+evU2uI|gZr_I|*@b(ia=bkqI?U~S+|%ZNc4;}g30^t(w0S!T&VC~0+|%amBslwtlygs;|M{Ke>?U~S+|%Y?K3>jl zf>+KxZQf3Tv!6&g_q6$6UtG>^f>+KxZQf3Tv!6&g_q6%n{9-w~30^t(w0S!T&VC~0 z+|%amBslwtlygs;|LxIob`!jE?rHOO5}f@+%DJb_|L)v!b`!jE?rHOO5}f@+%DJb_ z+evWt6DjAOHg6}v*-xaLd)oZlSC;$zbNh1cY4dgx-fKURa_(vKb`qTZM9R6R&D%+E z_7f@Ro;Lr7*Os%J;FWVvo41qT>?cyrJ#F4jg0r7UIrp@AI|7^M5*8&TfKN&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EPM zli=(pQqDbX-cEwEpGZ0PwE4eWSTtej?@E)8_3YxKCf- zmvc{>|Lpp5b`w5FIrp^r&ySX~o8XmmPn)-s;Or+-&OL43PJ*+aNICbk`P(PUeevqP zoO{~*mzS2aoA7?++|%amBslwtlygs;|N6>ub`!jE?rHOO5}f@+%DJb_-#K2+Zh}|N zJuQCjBgXT&pGb$B_q2KY2+n>Y<=oTe@4dL3-2|_kd)oYcH?cyr zJ#GHX>2h`xymIbo^AB8K&TfKN&OL4Z!K3BuCV1uC)8_3YIQxl|b5EPMli=(pQqDbX z{-KlQ>?U~S+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q6!ApSb+Z+E1ka;s06t ziFDi#{rm6SNpSWPDfi}$@%8N5{96~6vzy?Rb5EPMli=(pQqDbX{+-Lq*-h}uxu?zB zNpSWPDd(OxfAiXMb`!jE?rHOO5}f@+%DJb_+evWmzOpapo;GhM!P`%yoO{~*dv7df zH^D3Co;LsfOUv0!@XEQT&3|xfIlBp7Irp@AI|7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zB zNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaL zd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P z{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OR zKX(%2`P@&W!_9lzyqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|v zXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R z%|Co&IlBp7Irp@AI|Tt zej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs; zx0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6sn}6cbx0CQ*`-zluPn&=0@^ViEhtL5w_c;(#F=Itan`-zluPn-YY8_PZa%Y8Zbw0S!T z@3o&uIrp^rAKhBcZh}|NJuQCjC;s`PwVy~Y|DS6=k&gSJ@1M<`1ZO{ya`qEx^Isk< zXFtI!=bkoiC&7L7FZ*)tY4cy7Th4C6=P2i%Hvi4Za&{BEa_(vKb`qTZM9R6R&Hwe! z)6Lz)_;ItGds_V5NpSWP>2UL&Hg6}v*-xaLd)oYc$IIDG@XEQT&D%+E_7f@Ro;H8x zwdL$4c;(#F=Itan`-zluPn)-s;Or+-&OL43PJ*+aNICbk`EQ>tXE(ts=bkoiC&AfI zq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpO$c-j{Pvo41qT?I%*sJ#F4jg0r7UIrp@A zI|7^WQmM&TfKN&OL43PJ*+aNICbkc{>U2 zv8(%X?rHOO61@FH%DJb_+evWt6DjAOHg6}v*-xaLd)oYWPnWZs;FWVvoB!VRkS6TEWnY4dgxoc%<~xu?zl<`>J^P4LROr_I|*aP|`^=bkoiC&AfIq?~)& z{BMtzvzy?Rb5EPMli=(pQqDbX{&(k=vzy?Rb5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T z&VC~0+|%aYzOvl!pWBynPn)-s@Lv0glygs;x0B%PCsNKmZQf3Tv!6&g_q6#xytbU( z1h1TX+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHvh-d7^L7%P z{Y1*Sr_KN6%5ruSymIbo^L7%P{Y1*Sr_FzOyqw(xubg|@yqyGRKaq0oY4dgx+(%dU z<=oTeKfbu!C-?8mxu?zBNqE2gM9R6R&D%+E_7f@Ro;GhM!F~GrzMOm7{Absfvzzca z%DJb_e}1%_-2|_kd)mC61ZO{ya_(vKb`qTZM9R6R&EGy*?u%FV<=oTezr3`Z-Guim z=bkoiC&AfIq?~)&{MT2Ovzy?Rb5EPMli=(pQqDbX{?74ob`!jE?rHIJCo!JS{X{z4 zyr<3ENpSWPDd(OxfA7WR>?U~S+|%aoySbd*1h1TX+Ps|vXFrj0?rHO9PM5Qr;FWVv zn}6W?a&{BEa_(vK4<0RNH^D3Co;GhM!P!rwoO{~5odjn;k#g>7^ADXYXE(ts=bkoi zC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?a?{lq{2cWXb9UOvC}6Y01g`uE?tli=(p zQtr(g?ZiRqZrPe-#tJ0eme=yej?@E)As&%E-z;{!7JyUHg6}v*-xaL zd)oZXYs=Y9@XEQT&D%+E_7f@Ro;GhM!M*#+zTDhZ?ApAY1aCi)^6qK#@4c~{-2|_k zd)oZ_FD+*`!OtDV@b3KX`N7{mAKY5bZh}|NJ#Fu|li=(pQqDbX{?=E^*-h}uxu?zB zNpSWPDd(OxZzsXoPo&)3RqWclodjn;k@D_o^L7%P{Y1*mUB#}=+evWt6DjYWHg6}v z*-xb0+*Rz_yqyGRKauk8Y4dgxoc%<~xu?zBNpSWPDK~c&yEbnp!P!rw{M=RS+Ps|v zXFrkh?rHOO5}f@+%FSKHuFcy?aP|`^@18bqC&AfIq}<$9?ApAY1ZO{y^6qK#b`qTZ zM9R6R&D%+E_7f@Ro;GhM!P!rw+}u^{+Ps|vXFrkh?rHOO5}f@+%FSKHuFcy?aP|`^ z@18bqC&AfIq}<$9?ApAY1ZO{y@^e?QYw>d@F`m!;L^}N1RqWclodjn;k@D_o^L7%P z{Y1*mUB#}=+evWt6DjYWHg6}v*-xb0+*Rz_yqyGRKauk8Y4dgxoc%<~&0WQ=&D%+E z_7f>TcNM!fZzsXoPo(_ZRqWclodjn;k@D_o^L7%P{Y1*mUB#}=KYU|3y9s{oD28+Q zIlJeF{{A(05}f@+%FSKHuI>GH5}f@+%Dbn{+evWt6DjAOHg6}v*-xaLd)mC61ZO{y za_(vKb`qTZM9R%w#jefUNpSWPDL;1=yEbnp!P!rw{M=RS+Ps|vXFrkh?rHOO5}f@+ z%DJb_+evWt6DjAOHg6}v*-xb0+*Rz_yqyGRKauitSFvmJb`qTZM9RCT%|G$za&{B^ z+))hg&hMTd{PSxk!P!rwoO{~d|Kx?`&fc>x=bkoiC*i&J6DjAOHviP+<(__gU(P*k z-cG`M?I%)h?kaX|{+VmbJ^SLmynEXG`EM;}H{tzrM=`uRzk7c0*Y5|vTF!2QpF4`- z-1*(}gWgVpv!6)0xvSW9+z?ZiRqZr!7~>?ZiRqZr7 ztAE*-b5Gm*zdpB|-Gt9k&OL4Zo0H}2CV1uC)8_3YIQxl|b5EQ9>z}8ayNU7R){bI$ zcYgQ$(0{+Vli=(p(&5&wV%PHixs%}RCsKayDt2xDzT@TWCiuCd7|z}2?4BR|`t2k* z`-zluPuu&?ytbU(1h1TX+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHvjF@?cxg?kaX|-cEwEpGbN4w0S!T?vdO3a_(vKb`re(M9R6R z&D%+E_7f@Ro;GhM!P!rwoO{~*qgR%*o8ae;Vt99c_x#|WKRXG|ej?@E)As)F94}`# z!7JyUHg6}v*-xaLd)mC61ozn0eL45Ec{>T-ej?@Ou432b?Ibw+iIjIwo41qT>?cyr zJ#GHGr_0$*@XEQT&42Iua&{BEa_(vK-#=Q;Zi1gXis9Y)-SdOL|Li0<`-zluPuu(L zB)GG;_vPHv=FgohXE)(b{(N z+Ps|vZ$FW8b62rz^FO}1oZSSkynEWbodjn;k#g>7^FKLV&TfLAJBs1m`Q7t_|NURM zzMS0zKX(+vx%0c{2fdvHXFrj0b62tJxF7U(5}f@+%FkWJuFcy?aP|`^KX(g0r7UIrp@AI|N|oc%<~&0WQ=?frHVoc%<~yQj_n_Gme~34ZPPOb5EPMli=(pQqDbX{_88t*-h}uxu?zBNpSWPDK~c&yEcF4csaWX zUU~Pl__>o9&*y$39d7L^c5U8Hg0r7UdH1yWdoM0$H^I*x#qjR@?)jnLzjG(S*-fO} zeK(ia_I^7F&VC~0-P7jJoGxcK!7JyUHvho&?U~S+|%amBslwtlygs;zj7^S8cQ&TfKN&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EPMli=(p zQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC z3C?~Z<=oTe?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwE zpGZ0Pw0S!T&VC~0+|%amBslwtlygsupF4^1eC{XG;pRPU-cEwEpGZ0Pw0S!T&VC~0 z+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT z>?cyrJ#F4jg0r7UIrp@AI|7^L7%P{Y1*S zr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXo zPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R%|G$za&{BEa_(vKb`qTZM9R6R%|CfzxwH4|%ekk`+evt@{Y1*Sr_Dcg zdAX+_-+KxZQf3Tv!6&g_q6#luPtXc!7JyUHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&42rJIlBp7Irp@AI|?U~S+|%amBslwtlygs;x0B$` z-rkpUPn$n?vYg$7&r!}jZT{&?%h^rv%DJb_+evWt6DjAOHg6}v*-xaLd)oZ@JImQk z@XEQT%|Ca%oZSSkoO{~5odjn;k#g>7^L7&4g{%8=?rHOO61@FH%DJb_|M=!|b`!jE z?rHOO5}f@+%DJb_|KxNzy9r)7_q6#Jt}kad!7JyUHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&HwadIlBp7Irp^rpIutcZh}|NJ#F4jg0r7UIrp@AI|7^S?W{oZSSk zoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkqI_Lb#+|J=Tud)mC6g!kG{q?~)& zyqyGRKaq0oY4dgxoc%<~xu?zl;kD)LCV1uC)8_3YIQxl|b5EPMli=(pQqDbX-cEwE zpGZ0PwD~`tE@wBvE9agzZzsXoPo$iC+Weo6mb07Sm2*#?cyrJ#GFkSC+Gz;FWVvo41qT>?cyrJ#GHO zoZW=aQO-SW{_~^d>?U~S+|%amBslwtlygs;x0B%P zCsNKmZT|Mja$mf?XWlIrp@AI|7^LLJyvzy?Rb5Dz(JBjgp?kCdW<~?oRPJ*+aNICbk`Fk%eXE(ts=bkoy-_7Oh zCwS%D)8_3YIQxl|b5EN;bGn?}1h1TX+WZ68m$RGTm2*#Y^+(~fu6DjxRjq(0nn}6%Va&{B^+))hY&hMTde7~Ip zXFrj0?rD4fJC~QUo8XmmPn)-s;Or+-&OL4Z=C$SQCV1uC)8_3YIQxl|b5EPMli=Qc zWnXUYDt2w&PJ*|eNO||P`S;#f&TfKN&OL4Z{g;-ro8ae;Vt99c_x#}RpAT*=XE(ts z=bpCr+evWt6DjAOHh=4@?cxg?kaX|-cEwEpGbN4 zw0S!T&VC~0=B{Gb=Itan`-zlyPn)-s;Or+-Ztf~}ZQf3Tv!6(L_q2IC3C?~Z<=oTe z?Ibw+iIkhWid~zxli=(pQhx3#c5U8Hg0r7UdH1w=I|Ttej?@E)8_3YIQxl|b5EPMli=(pQf}@lc5U8H zg0r7UdH1w=I|szp*XHdcIQxl|pSy}(o41qT>?cxw?kaX|-cEwEpGbN4 zw0S!T&VC~0=B{Gb<{!SXoZSRJcND|9`<&hLLx2C8I|Ttej?@Ou432b?Ibw+iIktaid~zx zli=(pQhx3#c5U8Hg0r7UdH1w=I|Ttej?@F)8?OebUC{Te(orScjtG{5B~YJli=(pQqDbX?|<^ba%b<^ zmvc{>x0CQ*`-zluPn&=0@^Vin_`0MwBUoB@h!OtDVaPIu>`9W_d!P!rw+}u^{I_?Mk58qhs`CsnK zyQj_DNqDdQM9R6R&Hw1ua&{B^+))hg&hMTd`ugX7;@>^L_7mwp{#R>1k&gR8ZzsXo zPo$iC+WePC%h^rvb4M|}JHLB=@cniY+*kjyFXx`N_kVqEIlBp;qnvx%{5L1d*-h}u zxu?zBNpSWPDd(Ox|JOfHH+K``$E_X3@b3KX`Jw-Qb0@*sPo%@GUB#~D{c|V5*-xbW z+*Rz_{C&sE*-h|sM=_kc&)Gdc`1RXKaP|`^=bpCrpLuOLy9r)7_q2IC3C?~Z<=oTe z?Ibw+iIj6so41qT>?cyrJ#GHmr_0$*@XEQT&D%+E_7f@Ro;GhM!P!rw+}u^{+Ps|v zXFrkh?rHOO65J!V_vPHv=ItbS`-zluPn)-s;Or+-&OL43PJ*+aNICbk`A4rTXE(vm z9mVkO{Owr_G-`Sn_`17}u;Or+-&OL4Kx0B%PCsJ7!qt5__q2IC3EqAp<>szp*XDnGb2+;SUU~Plc{>Tt zej?@E)8>D2x}4nvKX(+vyYsu}2mkxOaD6$u34ZPszp*Kt4S z?Ibw+iIktaid~zxli=(pQhx3#c5VKrC(GGQ@N-8ooV(B2JwN#M|LoFob`!jE?rD3! zodjn;k#g>7^L7%P{Y1*Sr_KNT&T@7WymIbo^DiGSXE(ts=bkoiC&AfIq}<$9?ArXV zFD_>{!7J~cHg6}v*-xb0+*Rz_{BM4-oZSRJcND|9`<&hLgTH_5Bslwtl$*PXUEBNZ zBslwtly^^?|LxIob`$*EQ4H_S@17t0`t2k*`-zmByNX@g`+s+CIlBp7dH1w=I|#(q*XHdcIQxl|cTb!D!)wdgP4LROr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0o zY4d+PUCwTTSI#|c-cEwEpGZ0PwD~_BEoV2u&mG0^?)>ig!9V|Y5}f@+%DJcQ{dN+Z z{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4d-%vYg!nubg|@yqyGRKaq0o zY4aZ*FK0KwE9agzZzsXoPo$iC+Ps|v_tDjTIrp^rk1sCw$^H9s?rHOO65ek=k#g>7 z^L7%P{Y1*Sr_I|*aG$=uFE@7;yEgyX_2uj)e2((&Y4e{SEoV2u&mG0^?)>ig!9V|Y z5}f@+%DJcQ{dN+Z{Y1*Sr_J9!S?-Hh_vPHv=D)nOoZW=?E9agzZzsXoPo$iC+Wgm7 zmb07Sm2*#F`m!;L^|BsRqWclodjn;k@D_o z^Y>m{&TfLAJBs1m`Q7tFzklaWg0q`Qx%+M|ukHPI5}f@+%Dbn{pE+I5Zh}|NJ#GGh z>&w|q@XEQT%|CdwoZSSkoO{~5odjn;k#g>7^L7%P{Y1*Sr_DcfvYg!nKX(+vyYsu} z2Y>zTBslwtl$*PXUEBNZBslwtly^^?x0B%PCsJTtej?@E)8=n|wVd4qubg|@yqyGRKaq0o zY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt z6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5 zodjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~ zxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAO7C(0q z7^L7%P{Y1*Sr_Dcn zV>!DCUOD%)c{>Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0 z+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT z>?cyrJ#F4jg0r7UIrp@AI|hf|=KfW*Lo;GhM;l1_~Dd(Ox|ID@Jo_%p& z&OL4Z{I`~~oA7?++|%ZN@T=wQCV1uC)8_3YIQxl|b5EQ9;Ty|6|I2+j_q2IC3GcO^ zNICbk`5)a{&TfKN&OI%D?kE2K|5*Ep^dJ8FwVz1G{m}Q%=1zjMpGZ0TiM07IkCwBW z;FWVvo41qTz8c?uO*!|p`LE9{XE(ts=bkqI&B=0h6TEWnY4dgxoc%<~xu?zl_0QAI z-Ng8Dvz&Wc{M<=!_7mxF^PV?U~S+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q6$MpDt%N!7JyUHg6}v*-xaL zd)mC61ZO{ya_(vKb`qTZM9R6R&D%+EkKEpub5EPMli=+qQqDbX-cEwEpGZ0Pw0S!T z&VC~0+|%YCy|SF$1h1TX+Ps|vXFrj0?rHPiIbP0gf>+KxZQf3Tv!6&g_q2IC3GT70 z`*QAS^L7%v{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&{C7{6vzy?Rb5EQ9-u30|CV1uC z)8@Z_w4B`pubg|@yqyGRKaq0oY4dgx+}Ydva_(vK=T4ThoA5cxxu?xPeQ7zn30^t( zw0S!T&VC~0+|%amBslwtlygs;KYwRAy9r)7_q6%vj+e8W;FWVvo41qT>?cyrJ#F4j zg1c~aU(P*k-cEwIpGZ0PwD}+3T+VKSSI#|c-cEwEpGZ0PwE3T$E@wBvE9agz|HAd< z>?U~S+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q6$+o-AiK!7JyUHvh9r%h^rv z%DJb_+evWt6DjAOHg6}v*-xaLd)oZZ?<{9G!7JyUHvjVRa&{BEa_(vKb`qTZM9R6R z&Hwu1a&{BEa_(vKb`qTZM9R6R&Hv^X%h^rv%DJb_+evWt6DjAOHg6}v*-xaLd)oYO zkCwBW;FWVvo41qT>?cyrJ#GGX=a#dZ;FWVvo41qT>?cyrJ#F4jg0r7UIrp@AI|;mvc{>x0CQ*`-zluPn)-s;Or+-&OL43PJ*+aNICbk`9Hk2oZSSk zoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkqI$J6EPCV1uC)8_3YIQxl|b5EQ9 z)6sHv6TEWnY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+ z%DJb_|K-YZb`!jE?rHOO5}f@+%DJb_e|Wr{-2|_kd)mC61ZO{ya_(vKb`so2SNG-I z)8;?ExZEfA@5{NT&D%+Mzx_nYxu?zBNpSWPDd(OxZzsWh`ue_{d)oYG*O#-K@Hxu4 zr_FzUw4B`pubg|@yqyGRKaq0oY4dgxoc%<~xu?zFK3VRISNG-I)8@atw4B|9_bca~ zHg6}v*-xaLd)oZhSC+Gz;FWVvo41qT>?cyrJ#GHZ@p5((ymIbo@pC6Jp3nV6I^4Xc z&D%+E_7f@Ro;H8)#pUcKc;(#F=I^_?oc#o^oO{~5odjn;k#g>7^Jh+%vzy?Rb5EOp z;QDfQ6TEWnY4Z;rEoV2uE9agzZzsXoPo$iC+Ps|vXFrj0?rHN6oh)ZJ!7JyUHg6}v z*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R#n1i3-#@wb6I=iD+E4s_`sBfX|D8Jt&VFL+ zaBtoi|2r-ItqaT9P4KhB&3jtBodjn;v30n4Pm6!&@^W?){OoY^o)&K>!P!r29d6#! z;%{DC&TfLA9d6#!;_W0j`-!c?&3jtBodoypD|dCcc~6VCli=+qwhlM%Y4Pv9v7Frm zKRevKr^Ubj(sFha{OoY^o)-VXt>x?{_}Ss+JuTi&g0r94I^4Xc#ozjBIlBpdcDQ*@ zi?@^D>?gJkH}7fjb`qTZ#Ma^FJuTi&g0r94I^4Xc#oI}6_7hu&oA!P!r29d6#!;_W0j`-!c?&3jtBodjn;v30n4Pm8yc;Or;14ma;<@pclN{lwPc z<~=RmPJ*+a*gD+2r^VYzaP|{hhnx4bcsmKseq!rz^PU!OC&AfIY#nai)8g$UIQxmM z!_9kIyqyGRKe2VVc~6VCli=(pwhlM%Y4LUvoc+Ys;pROp-cEwEpV&Iwyr;$6NpSWP zTZfzXw0JuS&VFL+aPyuPZzsXoPi!4--qYgkBslwtt;5ZGdf3mM#Bg&zvGqT%{lxhD zQ=T9C|KH7>1ZO|7bvXM8ezv^dPJ*+a*gD+2r^VYzaP|{hhnx4bcsmKseq!rz^PU!O zC&AfIY#nai)8g$UIQxmM!_9kIyqyGRKe2VVc~6VCli=(pwhlM%Y4LUvoc+Ys;pROp z-cEwEpV&Iwyr;!Kd}BGg34V6Cc~6VCli=(pwhlM%Y4LUvoc+Ys;pROp-cEwEpV&Iw zyr;$6NpSWPTZfzXw0JuS&VFL+aPyuPZzsXoPi!4--qYgkBslwtt;5ZGTD+YEXFsuZ zxOq>Dx0B%PC$H+`Om7+evWt6I+Ly_q2FB3C@0E z>u~d)7H=oP*-va8Zr;=4pLldRy9s`FxOq>Dx0B%PC$?Zix;pROp-cEwEpV&Iwyr;$g@Qvl3|K(jBZr;=4?IgU{eq!rz z^PU#}qg%__P4KhB&3k&-&;7*T{oA#l*!r()Kk;|zzdiWPt>?gJkXFtKu7XRhZ za&{B^>~Qm*7H=oPef2MQb+~y?i~suEa&{9wXLh)GPmBNNWI4MDes;KdPm8yc;Or;1 z4ma;<@qZoPXB}?tCbkYY@9AMbcM_ca#MXaZ`-$=Em*u~d)7JufoH+`Om7+evWt6I+Ly z_q2FB3C@0E>u~d)7XR(jH+`Om7+evWt6I+Ly_q2FB3C@0E z>u~d)7H=oPJ#zc54ma;<@pclt{lwPc<~=RmPJ*+a*gD+2r^VYzaP|{hhnx4b_(!iS zXE(vm4ma;<@pclN{lwPc<~=R`JIBk}P4KhB&3jtBodjn;v30n4Pm8yc;2yhrSBIPT zw0JuS-hN{1aPyuPZzsXoPi!4--qYgkBslwtt;5ZGTKsoUm$RGTXNQ~jwD|8`U(RlV zpB--A)8fB>w4B`pKRevKr^VYzaP|{hhnx4bcsmL1?CrZc+`Om7pF3I3Zo=ox4ma;< z@lRh`&TfLA9d6#!;_W0j`-!c?&3jtBodjn;v30n4Pm4c)XF0nGes;KdPm6!Dx0B%QC$i_6(f@Uz3s zds@7m1ZO|7b+~y?i~r3pmb07SXNQ~jw0JuS&VFL+aPyuPZzsXoPi!4--qYfLd$gS0 z1V206yr;$6NpSWPTZfzXwD{khTh4BRpB--A)8g$UIQxmM!_9kIyqyGRKe2VVc~6VC zli=(pwhlM%Y4LAgS?>4G-PPgdJuTi&!h7u}whlM%Y4LUvoc+Ys;pROp-cEwEpV&Iw zyr;$g;kD)LCivOm<~=RmPJ*+a*gD+2r^VYzaP|{hhnx4bcsmKseq!rz^PU#}$J6EP zCivOm<~=RmPJ*+a*gD+2r^Wy2XgRwHes;KdPm8yc;Or;14ma;<@pclN{lwPc<~=Rm zPJ*+a*gD+2r^VYzaP|{hhnx4bcsmKseq!rz^PU#}mn+NJP4KhB&3jtBodjn;v30n4 zPmBNXcsaWXes;KdPm8yc;Or;14ma;<@pcm2M_2FaaPyuP|MA7;KDqy{4ma;<@pcm4 zZ$GhhxOq>Dx0B%PC$&w|q_?+3{<~=R`^P}bLCivOm z<~=RmPJ*+a*gD+2r^VYzaP|{hhnx4b_}eGTeevpD9d6#!;=jDKoZW=?&ki^5Y4LUv zoc+Ys;pROp{_88t*-h}X!_9kIyqyGRKe2VVc~6VKbG)401V206yr+l#+(`^K_Y+(H zb?qm{zaQlJp?`mvI||GgKNvzy>&hnx4b`1@`yXE(vm4ma;<@pclN z{lwPc<~=R`%;|D=6a4IO^PU#}!1d+qCivOm<~=R`!K3BuCivOm<~=RmPJ*+a*gD+2 zr^VYzaP|{hhnx4b_=irGvzy>&hnx4bcsmKseq!rz^PU!OC&AfIY#nai)8g$UIQxmM z!_9ko*w6jM-~MlFKau|3e_H#Ablea9`I|cl&VC~0-n=oso?V-N>%ww&6TEWnY4dgx zoc%<~xu?y)b9p(t30^t(w0S!T&VC~0+|%Z7UR%y?f>+KxZQf3Tv!6&g_q2IC3GUrj z_T}8u=ItbS`-zluPn&=5jpghnc;(#F=HGv5IlBp7Irp^r4{j}IH^D3Co;GhM!P!rw zoO{~*t*@4|o8XmmPn)-s;Or+-&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EPM zli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g z_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX z-cEwEpGZ0Pw0S!T&VC~0+|%OcK4Ltd`-yb8c~6_SkKpVlQqDbX-cEwEpGZ0Pw0S!T z&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6s zo41qT>?cyrJ#F4jg0r7UIrp^rhi@!rH^D3Co;GhM!P!rwoO{~5odjn;k#g>7^L7%P z{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox zZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{y za_(vKPdvJu-2|_kd)mC61ZO{ya_(vKPhME=>^=K(?rHOO65eY+k#g>7^G{t~?&-(( z<=oTe?IgU{ej?@E)8?PKw%oHX?#sES&7c3)a&{Bmubg|@{11M$oZSSkoO{~5odjn; zk#g>7^FMrJx#xemFXx^%Zztis_7f@Ro;LrZTg%x^@XEQT#n1i3-~7*OKau|J->&^c zI_`(Qe>V3Koc%<~*-fO)e>uMY%Gph%oO{~5odoyQ`2K6kxu?y4eQr6s30^t(wE1sN zmb07Sm2*#+KxZQf3Tv!6&g_q6#luPtXc!7JyUHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZ zM9R6R&42rJIlBp7Irp@AI|?U~S+|%amBslwtlygs;x0B$`-rkpU zPn$n?vYg$7&r!}jZT{&?%h^rv%DJb_+evWt6DjAOHg6}v*-xaLd)oZ@JImQk@XEQT z%|Ca%oZSSkoO{~5odjn;k#g>7^L7&4g{%8=?rHOO61@FH%DJb_|M=!|b`!jE?rHOO z5}f@+%DJb_|KxNzy9r)7_q6#Jt}kad!7JyUHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZ zM9R6R&HwadIlBp7Irp^rpIutcZh}|NJ#F4jg0r7UIrp@AI|7^S?W{oZSSkoO{~5 zodjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkqI_Lb#+|J=Tud)mC6g!kG{q?~)&yqyGR zKaq0oY4dgxoc%<~xu?zl;kD)LCV1uC)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0P zwD~`tE@wBvE9agzZzsXoPo$iC+Weo6mb07Sm2*#?cyrJ#GFkSC+Gz;FWVvo41qT>?cyrJ#GHOoZW=aQO-SW{_~^d>?U~S+|%amBslwtlygs;x0B%PCsNKm zZT|Mja$mf?XWlIrp@AI|7 z^LLJyvzy?Rb5Dz(`-t&;?kCdW<~?oRK7zBKNICbk`Fk%eXE(ts=bkoy-_7OhCV1uC z)8_3YIQxl|b5EN;bGn?}1h1TX+WZ68m$RGTm2*#m(_*7w$a;&0M_bMW7P=T3sNpV&Iwn>WV)PK$r*!g6*K{OoY^o)&K>!P!r29d6#! z;@`QvoZSRJJKVgd#oI}6_7hu&oA!M*#+ zT^(-T)8g$Uc>9U1!_9kI{CjUKXE(vm4ma;<@$bL1oZSRJJKVgd#eZ;XIlBpdcDQ*@ zi?@^D>?gJkH}7fjx4v4=Zi1g3Zr;=4?Ibw+iLJxUds@7m1ZO|7b+~y?i?@^D>?gJk zH}7fjb`qTZ#Ma^FJuTi&g0r94I^4Xc#oI}6_7hu&oA!P!r2 z9d6#!;_W0j`-!c?&3jtBodjn;v30n4Pm8yc;Or;14ma;<@pclN{lwPc<~=RmPJ*+a z*gD+2r^VYzaP|{hhnx4bcsmKseq!rz^PU!OC&AfIY#nai)8g$UIQxmM!_9kIyqyGR zKe2VVc~6VCli=(pwhlM%Y4LUvoc+Ys;pROp-cEwEpV&Iwyr;$6NpSWPTZfzXw0JuS z&VFL+aPyuX_H!pO+}uxWeQ)h2#^0av{LugZZtf&F`-!c?*-!AZ<^6UNoc+Ys;pROp z-cEwEpV&Iwyr;$6NpSWPTZfzXw0JuS&VFL+aPyuPZzsXoPi!4--qYgkBslwtt;5ZG zTD+YEXFsuZxOq>Dx0B%PC$Dx0B%PC$H+`Om7+evWt6I+Ly_q2FB3C@0E z>u~d)7H=oP*-va8Zr;=4?Ibw+iLJxUds@7m1ZO|7b+~y?i?@^D>?gJkH}7fjb`qTZ z#Ma^FJuTi&g0r94I^4Xc#oI}6_7hu&oATvU(7JvR*%h^qM|Lkz{o)-UuUoB@h!Ospi?`iRN5}f_S*5T$o zE&hjZEcg5`@9J>#o)&K>;l1_~TZfzXwD=$0TF!2QpB--A)5Cu5CocW}Yd?{`{C}_g zL^|$={{A+15}f@+%Gpn(&3}2coc#o^oO{~5odoyQzwFDor_FzTZaKRNpQD_6+Wa>s z%h^rv%DJb_+evWt6DjAOHviW@Pd9fH?cyrJ#F4jg0r7UIrp@AI|7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&4{(dtc5y zZQf3Tx1UHk_q2IC3C?~Z<=oTe?Ibw+iIj6sn}77ma&{BEa_(vKb`qTZM9R6R&41^3 zIlBp7Irp@AI|+KxZT@@Lm$RGTm2*#<|NhZ(b`!jE?rHOO5}f@+%DJb_+evU|Z|}>w zr_G-`S?cyrJ#GH{o#pH%c;(#F z=AS!W&TfKN&OL43PJ*+aNICbkc{>U2!qt5__q2IC3EqAp<=oTee|&Q}y9r)7_q2IC z3C?~Z<=oTee{#B<-2|_kd)oX9*O#-K;FWVvo41qT>?cyrJ#F4jg0r7UIrp@AI|7^FP0{oZSSk zoO{~*%g4*vP4LROr_I|*aP|`^=bkqI>x;|TP4LROr_I|*aP|`^=bkqIn_nzvH^D3C zo;GhM!P!rwoO{~5odjn;k#g>7^S?b>&TfKN&OL43PJ*+aNICbk`QM#e&TfKN&OL43 zPJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EOp`^s{^e{NsSJ#F4j!h7u}QqDbX-cEwE zpGZ0Pw0S!T&VC~0+|%a&@Y-^A6TEWnY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC z+Wa3+m$RGTm2*#|M=o^pWMGM=bkoiC*l3}6DjAOHg6}v*-xaL zd)mC61o!Fd`*QAS^PgQ`&ThizDCeFw|M}5!b`!jE?rHOO5}f@+%DJb_+evWt6DjAO zHh=qMxi4Pbmvc{>|MJpub`##OoO{~5odjn;k#g>7^Iu)G^PV+KxZT^Al%h^rv%DJb_KX|m9-2|_kd)mC61ZO{ya_(vK zb`qTZM9R6R%|CRqoZSSkoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bjcn_Y;@? z$F-kGU;eMxej*+BL;wCecM_caM9RH+W4wRY=HI%ooZSSkoO{~5odjn;k#g>7^Y2_< z&TfKN&OL43PJ*+aNICbk`J304vzy?Rb5EPMli=(pQqDbX-cEvh_mzD)_q2IC3EqAp z<=oTe-+N;@y9r)7_q6%+KxZT^E>%h^rv%DJb_+evWt6DjAOHh=4@?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0P zw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+ ziIj6so41qT>?cyrJ#F4jg0r7UIrp@AI|c5&*y$39d6#!=ItXm`-zluPn)-s;Or+-&OL43PJ*+aNICbkc{>Tt zej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs; zx0B%PCsNKmZT{gK%h^rv%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZ zM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+WZrbE@wBv zE9agzZzsXoPo$iC+WeCjmOFdTzMOm7yq$#i+E1jMd)oX{mzR6`@qIb>w0S!T@3o&u zIrp^rXRa;x?2G?}Z@UTAw7%CpA55XG!Ic&=lH)*WBnGY6Nhw-Nx%KS5J-WA*Nl#ju zKxYtWXlm3Hw1a|nkWsTnJ!xk>l}2c2f~~L+Y(icR6?VuA)*yp$GDy;_r=bkoiC*i&J6DjAOHvht{?fsu$T+VL7=P2i% zHvh%ha&{BEa_(vKb`qTZM9R6R&Hw$k)6Lz)_;G7TF}yp!JwNvAH+K@8{X{z4+Er{V z@1Hvf&VC~0=dNOF^A}E+vzy@Oj$$}>pR+wb`t{pMaP|`^=bpCr-}l~fb`!jE?rHOO z5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)oYi=gZkm@XEQT&D%+E_7f@Ro;GhM!P!rw z+}u@cZQf3Tv!6(L_q2IC3GQpR59Qp`=ItbS`-zluPn)-s;Or+-&OL43PJ*+aNICbk z`G>D9XE(vm9mVkO{Pz6l-#Ttej?@F)8_3YIQxl|b5EOp{CqjP30^t(wE3^!Sk7*O zSI#|c{u?LD*-h|sM=`uRzdb+t=g&@pv!6&g_q4s=PJ(;t_Mx16+Wf_{>xXjgY4dgxy!}MV&0WRT=3l(IoZSSk zynEWbodjn;k#g>7^Dmt*XE(vm9mVkO{Pz6lum8(8mb07S=Z<1HcYb?*)Z0mL_7f>L zcNJU5{iwH-;Or+-e(ox^Hg6}v*-xbW+*NFC{?)VP>?ZiRqZrQJ=WNf9e*LdqUCwTT zSI#|c@3)iS>?cyrJ#F4jg0r7UIrp^rH|{KFH^D3Co;LrT)8*_Yc;(#F=Itan`-zmB zyNa#Nzkg*py9r)-_q2IC3C?~Z<>szpYx5s|cR9NWe(orSbN4yh^P_)$>?An*iIkhW zimmPab`qTZM9RCT&3|;VoZSRJcND|B^V{>IU%#CMXFrj0b62soz5nBj%h^rv%Dbn{ z+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKKe@KtPoF)Mb5EPMlki^qiIkhWimlDt zNpSWPDL;1=Tbs9&;Or+--aT#p=kG0NH^D3Co;GhM!P!rwoO{~5odjn;k#g>7^L7%P z{Y1*Sr_KNBd^x)bUOD%)c{>Ttej?@E)8;=pS7d%v9oXFrj0?rHP4&zAe!4-Vzr)8;?Dx}4pF_bca~Hg6}v*-xaL zd)oZx*Os%J;FWVvo41qT>?cxg?kcu6f9G^Ly9r)-_q6!AlNitEej*)i?JBl5ZzsXo zPo%ti+WeQVEN3^t&mG0^?)>)r*q`6Ili=(oQtrad<+Z)vPJ*+aNO||P`TNe7vzy?R zb5EPU|Hg856TEWnY4cw>STtej?@E)8>En=5lruymIbo z^L7%P{Y1*Sr_JAdYdO0KUOD%)c{>Ttej?@E)8_3YxL7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0 z?rHIJCo!JS{X{z4yr<3ENpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_ z+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rw zoO{~*L+>tUH^D3Co;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0 z?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKPdvPw-2|_kd)mC6 z1ZO{ya_(vKPhMW`se2CP+|%amB)r#tBIVrE=D+#oa!)^YDCeFwZztis_7f@Ro;Lrj zx0d_%D~EFKY4evJSk7+3`;~J~n}6n=?U~S+|%amBslwtlygs; z|NC#Jo4bke<7PScwD`G`;Or;T;pRPU-cEwEpGZ0PwD}9C%h^rv%DJb_+evWt6DjAO zHh7^L7%P{Y1*Sr_I|*a9_K9DCeFwZzsXqPo$iC+Ps|vXFrj0 z?rHOO5}f@+%DJb_KYVRDy9r)7_q2IC3C?~Z<=oTeA30slZh}|NJ#F4jg0r7UIrp@A zI|=U5>xXjgY4dgxy!}MVxu?zBNpSWPDd(OxZzsXoPo$iC+Wh0^%h^rv%DJb_fBnXC zb`!jE?rHPiI9bkaf>+KxZQf3Tv!6&g_q2IC3GS)ehjQ*|^B2#Svzzca%DJb_KYevM zy9r)7_q2IC3C?~Z<=oTe?Ibw+iIj6so4<5tIlBp7Irp^rXHS>2o8XmmPn)-s;Or+- z&OL43PJ+99{ZP(5ZQf3Tx1UHk_q6#JZ!Tvy!7JyUHg6}v*-xaLd)oX<=gZkm@XEQT z&A)tOIlBp7Irp@AI|?cyrJ#F4jg0r7UIrp@A zI|7^FM!Y zIlBp7Irp@AI|7^L7&4pMQ8L=bkqImmAC3 zP52z;+|%a&da|6|1h1TX+Ps|vXFrj0?rHOO5}f@+%DJb_-#%OJZ$CJcb5EQ9^y+eU z6W*_!d)mC61ZO{ya_(vKpI=+fZh}|NJ#F4jg0r7UIrp^rJEzOpP4LROr^U~m#CSgU z6X|gCo;GhM!P!rwoO{~*m#-{mH^D3Co;H8s=5qEEymIbo^L7%P{Y1*Sr_JAYzMS0z zubg|@{QWnUvzy?Rb5EQ9%E@we6TEWnY4dgxoc%<~xu?zBNpSWPDd(Ox|JAeQ>?U~S z+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q6!ApZJ&mZtW-1fBmo4ej*+BWB>j; zcM_caM9Tf>-SPgd&HwoFa&{B^+))hY&Tr3;zTZxQv!6&g_q4tLXKyZNH^D3Co;GhM z!P!rwoO{~*&9|1bo8XmmPn)-s;Or+-&OL43PJ;Wz_YUReu3~HRb`re(M9RCT&HwU) zaP|`^@18bqC&AfIq}<$9Y;E37 zg0r7UdH1w=I|?cxg?kcu6ZzsXoPo%ti+Ps|vXFrj0b62so zc{>Ttej?@Pu3~HRb`qTZM9R-y#n$HSBslwtly^^?x0B%PCsJ4*`6Q!@2|O&;Or+-Ztg0!w)fjfaP|`^@18bqC&AfIq?~)&yqyGRKaq0oY4dgx zoc%<~xu?zBNpSWPDK~c&Tbs9&;Or+-e(ox^Hg6}v*-xbW+*NFC-cEwEpGbN4w0S!T z&VC~0+|%amBslwtlygs;x0B%PCsJx?{__?DP z-ksl`AN%^}e&Y4l)_x-WmxtDVA|3am-cEwEpGZ0PwE0g@mb07S=Z<1{cYb?*^!;`c z+-KiElygtp`#-<9oZW=aQO-SW{)@Ba>?U~S+|%amBslwtlygs;|NC#Jo4bke$j8O z>?cyrJ#Fv5@4e;hCV1uC)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0PwD||mm$RGT zm2*#T-ej?@Ou3~HRb`qTZM9RCT&D%+E_7f@R zo;LsZ`Eqs>ymIbo^IyNQoZSSkoO{~*H%^wbo8ae;Vt99cdw%rKpPd9}Kaq0oX?wq& z1ozbKLpk@f`HN@E*-iKy<=oTepT4@B-2^{(6vMmo+w-G8e>(}zej?@E)AoKl3C?~Z z<>szpYx9@xEN3^tEAO5*|Lo~4*`6Q$`d_=coZSSkoO{~dZzsXoPo$iC+Ps|vXFrj0?rHOH+*!_Uf>+Kx zZT>r_%h^rv%DJb_+evWt6Dc=$6+KxZQf3T zv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6soB!4Ma&{BEa_(vKb`qTZM9R6R&3|&ToZSRJ zcND|B^V{>IfB)?yIQxl|b5Gm*?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@AI|IlBp7Irp@AI|>oZSSkoO{~5odjn;k#g>7^L7&4AFdzD zxu?zl@yc?4y7y4dJ#F4j!u#zfQqDbX-cEwEpGZ0Pw0S!T?$19wl$*PXt)r=-+=k3C?~Z<=oTueme=yej?@E)8=oVE%&z{9Ll+; z&3}4zIlBq(SI#|c-cEwEpGZ0PwE54kEoV2uE9agzZzsXoPo&)3Rcvkk&gpV?6TI^7 zY4LL>F`m!;L^|BsRcvkEPJ*+aNO||P`7d8t&TfLAJBs1m`R)0!KfiM)!P!lu+=ZLV zYkR+)1ZO{y^6qK#_nj|iH^D3Co;H8~jpghnc;(#F=D%{XoZSSkoO{~5odjn;k#g>7 z^L7%P{Y1*Sr_F!$Y&p9Le(orScjvd~M}PnABslwtl$*PXt?m7G5}f@+%Dbn{+evWt z6Dc=$67^EcmG&TfKN&OL43PJ*+aNICbkc{>U2 z7vDRSb5EPMli=+qQqDbX{+AytXE(ts=bkqI>(`gFo8XmmPn-YEt>x?{c;(#F=Itan z`-zluPn*B>*>ZLhymIbo^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0o zY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt z6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5 zodjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~ zxu?zBNpSWPDd(OxZzsXoPo$iCTKwEejOTMdkq$TSY4dgxoc%<~xu?zBNpSWPDd(Ox zZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{y za_(vKb`qTZM9R6R&D%+E_7f@Ro;Lr`yUW>4@XEQT&D%+E_7f@Ro;GhM!P!rwoO{~5 zodjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~ zxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v z*-xaLd)oXH4=-mo!7JyUHg6}v*-xaLd)oYymzR6$oxu?zBNqDdQM9R6R&425y<-YyOp`3f#{G|t$vzzdK<=oTepLu6Fy9r)7_q2IC z3C?~Z<=oTepZj3B=ife*b5EPMlki^qiIj6sn}6Zfa&{BEa_(vIb3gGP{-3pz{4ej?@UC(`CWJz36ff>+KxZQf3T`)qvwHRasX=0Cr7 z^A}E+vzy?Rb5EPMli=(pQqDbX{=WB?vzy?Rb5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T z&VC~0+|%YCJYUXkf>+KxZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qTzIOXi z&OL43PJ*|eNICbkc{>Ttej?@E)8_3YIQxl|b5EOp_}X%I6TEWnY4dgxoc%<~xu?xP za=M(|1h1TX+Ps|vXFrj0?rHOO65ONL59Qp`=ItbS`-zluPn)-s;Or+-&OL43PJ*+a zNICbk`Nz+fvzy?Rb5EQ9`iTtej?@E)8;=qSB;FWVvo41qT>?cyrJ#GGzljZCtc;(#F=Itan`-zluPn)-s;Or+-&OL43 zPJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EQ9-L>WHCV1uC)8_3YIQxl|b5EQ9{poUc z6TEWnY4dgxoc%<~xu?zBNpOF-ekkXjHvh*f%l+xzLpk@fc{>U3x1UHk_q2IC3C?~Z z<=oTe?IgHA|L{=GJ#GFkHTtej?@E)8_3YIQxl| zb5EPUeYV`+esCz~o;LsK)#dCayk9x@w0S!T&VC~0+|%YizqXv+1h1TX+Ps|vXFrj0 z?rHOPPM5Qr;FWVvi=R7*@qF$l(&6SkZQf3Tv!6&g_q6#hUs=v>f>+KxZT`Z|?cxw?kcu6ZzsXoPo%ti+Ps|vXFrj0b62soc{>Ttej?@F)8_3Y zIQxl|o4bmw&D%+E_7f@Zo;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*mUB%Ys?Ibw+ ziIjIwo41qT>?cxg?kcu6ZzsXoPo%ti+Ps|vXFrj0b62soc{>Ttej?@Pu3~HPb0;yL z&;3L?{MuD)ZQf3Tv!6(L_q2IC3C?~Z<>szpYx8yzoc%<~yQj_DNpSWPDK~c&Tbs9& z;Or+--aT#JPJ*+aNV&PI*xJ0E1ZO{y@^e?QwRt-U&VC~0=dNOF^L7%P{Y1*Ur_I|* zaP|`^H+L0Vn}6ut?cyrJ#F4jg0r7UIrp@AI|7^L7%P{Y1*Sr_I|*aP|`^H+L0Vo41qT>?cxw z?kcu6ZzsXoPo%ti+WZp_FK0Kw&mG0^?)>)r=-*#E3C?~Z<=oTu{wFUl_tZUya_(vK zb`sueKaq0oY4hKFbGfGJw`}vG+f({lu&36Gwmkb0@*sPwXAe zeuAGZ{?n7?>?Zix;pROp-cEx1?E80hxOq>D|NP=|b`w5lcDQ*@i~r(mIlBpdcDQ*@ zi?@^D>?igPH}7fje;?mx9d7O>_6|4i>2W`I5}f_S-v7Mz6XW+U&yW52nL7#2eq!%% z_7nVUdH;peI+`Om7-}l~fb`$*UaPyuPZzsXoPwX9T-qYgk zBslwty~E9WTD+YEXFsubxOq>DfAD-cy9s`FxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pw zE#6Lov!B>I+`Om7+evU=yM0%OoA!P!sj9d6#!;_W0j`-#27 z&3jt>!`GIxo8V`MoA2h`x{OoY^o)&K>!P!sj9d6#!;_W23 zN3Y-2;pROp-cEwIpV&Lxyr;$6NpSWPdxx9%w0JuS&VFL=aPyuP|M>ZGb`$*UaPyuP z|MeTo*-h}X!_9kI{5MXPvzy>&hnx4bcsmKseq!%%^PU!OC&4{+`>qZ*?`iQD&z7^B z@Hw-?&3jt>(^r?Xo8V`MoA!P!sj9d6#!;xFA<&TfLA9d6#! z;-5WT&TfLA9d6#!;_W0j`-#27&3jtBodkFJ`duAv-qYgkBzXIYy~E9WTKtPQm$RGT zXNQ~jw0JuS&VFL=aPyuP|I+z#b`$*UaPyuP|MHFH>?Zix;pROp-cEwEpV&Lxyr;$6 zNpSWPdxx9%w0JuS&VFL=aPyuP|LWOtb`$*UaPyuP|Jv2%>?Zix;pROp-cEwEpV&Lx zyr;$6NpSWPdxx9%wD>pfEN3^t&ki^5Y4P7VUCwTTpB--A)8g$UIQxmc!_9kI{QFmy zvzy>&hnx4bcsmKseq!%%^PU#};dhs_o8V`MoA!P!sj9d6#! z;y*fB&TfLA9d6#!;_W0j`-#27&3jt>#}}8go8V`MoA!P!sj z9d6#!;_W0j`-#27&3jt>Pp&QZ(`WDMaPyuPZztis_7i)DoA z!P!sj9d6#!;(z|$a&{B^>~Qm*7H=oP*-z{pZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^ zi~rU6a&{B^>~Qm*7H=oP*-z{pZr;=4KRH>>Zi1g3Zr;=4?Ibw+iM_+kds@7m1ZO|7 zcer^^i?@^D>?igPH}7fjb`qTZ#NOfNJuTi&g0r94JKVgd#sBWwa&{B^>~Qm*7H=oP z*-z{pZr;=4e}B51-2^{7+`Om7+evWt6MKi7_q2FB3GNTq@9J>#o)-VdE6e@q-n%;7 zyr;$6NqE2g#NOfNJuTi&g0r94JKVgd#oI}6fBxZJ9d6#!;{S4EIlBp;GdtY8r^WyE zWI4MDes;KdPm8yc;Or;%4ma;<@pclN{lwnk<~=R`_StfO`@vluZr;=4KfSt~-Gukg z4ma;<@pclN{lwnk<~=R`^J~l5P4KhB&3jtBodjn;v3Iz6Pm8~Ex}4nvKRevKr^o%= zNenml6MO&j+E0vsKgjcA|Nby{5}f_S-r?*g_}TLQFJD>CZi1g3Zr;=4FWg+tZi1g3 zZr;=4?Ibw+iM_+kds_T`=gZkm@Uz3sds_VcHI+`Om7fAwrRy9s`FxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pw zE#6Lov!B>I+`Om9{oGId^Z&W_6X~n})7np@<9_V#-`q)X_7f@hqj$&Gv$gpjUtZ2` zf>+KxZQf3Tv!6&g_q6$+y}6v-1h1TX+Ps|vXFrj0?rHNk-&)RYf>+KxZQf3Tv!6&g z_q2IC3GNr)JCt)zo41qT?I%*sJ#GG%A1r4#!7JyUHvj9_m$RGTm2*#<|IMxC>?U~S z+|%amBslwtlygs;zxCO2b`!jE?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC6 z1ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*S zr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXo zPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO@dQ+)0e*b3c&|H}7flb`qTZM9R6R&D%+E z_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)& zyqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox|IoY3*-h}uxu?zBNpSWPDd(OxZzsXo zPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vK zb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^ z=bkoiC&AfIq?~)&{1Xo^XE(ts=bkoiC&AfIq?~)&{F9fLd+MG;Irp@AI|=W#pGZ0P zwE1tox!lu_9m=_<&D%+Mul+>Ixu?y4>#gO!{mP-7d)oY^2bQy&@P6go)8?OfXF0nG zUOD%)c{>Ttej?@E)8?Q1V7cetK9qA$o41qjUi*oZb5EOp;ns3?6TEWnY4LME@lXHz z+E1ka{NJzrL^|%rzJE6N5uE)*%Gph%&3`(+|H|1-q?~)&yqyI1+4%lz%DJb_e|~W} zy9r)7_q6#h&X%*A;FWVvo41qT>?cyrJ#GH)znyOGC&rJP<=oTa=RSh7pGb$B_q2KY z2+n>Y<=oTeFPtuCH^D3Co;GhM!P!rwoO{~*eeW%2H^D3Co;GhM!P!rwoO{~5odjn; zk#g>7^L7%P{Y1*Sr_DcjzMS0zubg|@yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox zZzsWh?e?LZd)mC61aCi)a_(vKb`qTZM9R6R&D%+E_7f@Ro;LsRwdL$4c;(#F=Itan z`-zluPn&<_bUC{TUOD%)c{>Ttej?@E)8_3YxJR!a%DJb_+ez^D6DjAOHg6}v*-xaL zd)mC61ZO{ya_(vKkDo7RH^D3Co;LsW8_U^E@XEQT&41%$IlBp7Irp@AI|7^Dmt*XE(ts=bkqI@{Q%}CV1uC)8_3YIQxl|b5EPMli=(p zQqDbX-cEwEpGZ0PwE0)hmb07Sm2*#jWb`!jE?rHOO5}f@+%DJb_+evWt6DjAO zHvh(*7^B-Sa z&TfKN&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EQ9$+hKv`s|^cd)mC6g!kG{ zq?~)&yqyGRKaq0oY4dgxoc%<~xu?zl{JrJuCV1uC)8_3YIQxl|b5EPMli=(pQqDbX z-cEwEpGZ0PwE171FK0KwE9agzZzsXoPo$iC+WaRc%h^rv%DJb_+evWt6DjAOHg6}v z*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;LrxYs=Y9@XEQT&D%+E_7f@R zo;Lsc)8*_Yc;(#F=Itan`-zluPn)-s;Qny^P|iJV{*PCd`_sLLa_(vKb`suiKaq0o zY4dgxoc%<~xu?zBNpOGu;h~&++WcQ`EN3_2bCh#WoB!*{a&{BEa_(vKb`qTZM9R6R z&D%+E_7f@Ro;H8`Y`MSv;84yzZT{1%%h^qMzjE$r^L7%P{Y1*Sr_FzUZ8^IMUOD%) zc{>Ttej?@E)8_A-E@wBvE9agTKlc&i`P@&W!_9lzynO^`Kaq0oY4cycvYg!nubg|@ z{Dqs#*-h}uxu?zBNpSWPDd(Oxf8Y6Xb`!jE?rHP)-&oFWf>+KxZT>4K%h^rv%DJb_ z+evWt6DjAOHg6}v*-xaLd)oY0&z7^B;FWVvo41qT>?cyrJ#F4jg0r7UIrp@AI|AySr@4s^=!P!sj9qvc(j{lt&|KrQc*-h}X!_9kI zyqyGRKe2bXc~6V~*_+GRP4KhB&3jtBodjn;v3Iz6Pm90#)^c_e{OoY^o)&K>!P!sj z9d6#!;_W23UwrSb4ma;<@pclt{lwnk<~=R`mme%=H^I*iH}7fjzkYo=y9s`FxOq>D z|IMxC>?Zix;pROp-cEwEpV&Lxyr;$A`fNG734V6Cc~6VCli=(p_6|4iY4LUvoc+Y! z;pROp-cEwEpV&Lxyr;$6NpSWPdxx9%w0JuS&VFL=aPyuPZzsXoPwX9T-qYgkBslwt zy~E9WTD+YEXFsubxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pwE#6Lov!B>I+`Om7+evWt z6MKi7_q2FB3C@0E?{M>;7H=oP*-z{pZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i?@^D z>?igPH}7fjb`qTZ#NOfNJuTi&g0r94JKVgd#oI}6_7i)DoA z!P!sj9d6#!;_W0j`-#27&3k&>&z;0@b3d{7Kdt@5`0uAYKlcB>n>z{4eq!%%_7nVU zdB2?mXFsubxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pwE#6Lov!B>I+`Om7+evWt6MKi7 z_q2FB3C@0E?{M>;7H=oP*-z{pZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i+||dI+`Om7+evWt6MKi7_q2FB3C@0E?{M>;7H=oP*-z{pZr;=4?Ibw+ ziM_+kds@7m1ZO|7cer^^i?@^D>?igPH}7fjb`qTZ#NOfNJuTi&g0r94JKVgd#oI}6 z_7i)DoA!P!sj9d6#!;_W0j`-#27&3jtBodjn;v3Iz6Pm6!z z;pOZm_}Ss+JuTi&g0r94JKVgd#Xos@xu@>AtHaHETD+Zv_u5bF9d6#!;=lRka!)^Y zSBIPTw0JuS@3o)UJKVgd#eeIq<-YyOT^(-T)8a2Zu$#o)&K>;l1_~dxx9%wD=cpEoV2u&ki^5>2W{z z6aRSs+E48Lx3!=6$MpWA-#?o>3C@0E?{M}L{A}@`o-AiS!Ospi?`iRN65MCszpKN| zds_VG7nie}@Hw-?&3jt>7iY`aP4KhB&3jtBodjn;v3Iz6PmBNi_&)1!b2qVfxOq>H z`?-_g>?ijA+uBcz-@iOR_TTSwC&AfI>>bX2f}bt#zi_&o-2^{7+`Om7+evWt6MKi7 z_q6!?-doOYf}b63-qYgkBslwty~E9WTD+YEXFsubxOq>Dx0B%PC-x3E?`iQ5o-b!N z!Ospi?`iRN5}f_S-r?pwE#6Lov!B>I+`Om7+evWt6MKi7_q2FB3GQpR@9J>#o)&K> z!P`&l9d6#!;_W0j`-#27&3jtBodjn;v3Iz6Pm6!}+H!Ui{OoY^o)&K>!P!sj9d6#! z;vYF(&TfLA9d6#!;_W0j`-#27&3jtBodoyj^}9OUyr;$6N$~a)dxx9%w0JuS&VFL= zaPyuPZzsXoPwX9T-qYeAKVQynf}b63-qYg0eq%Yi34V6Cc~6V~#>sMa6a4IO^PU!O zC&AfI>>Y02)8g$UxTkL4)#2tnE&k%!a&{9wXLh)GPm6#0>T-4y{OoY^o)&K>!P!sj z9d6#!;_W0j`-#27&3jt>r8~>nP4KhB&3jt>v!~11P4KhB&3jtBodjn;v3Iz6Pm8yc z;4WXktHaHETD+YEZ$GhjxOq>DfAQvWb`$*UaPyuPZzsXoPwX9T-qYe=I$zFif}b63 z-qYe=zOkI$1V206yr;$6NpSWPdxx9%w0JuS&VFL=aPyuPZzsXoPwX9T-qYe=JzLIh zf}b63-qYe=ySkj+1V206yr;$6NpSWPdxx9%w0JuS&VFL=aPyuP|Hhr=>?Zix;pROp z{yV43*-h}X!_9kIyqyGRKe2bXc~6Uf|H^W96a4IO^PU!OC&AfI>>Y02)8aq;?s9e$ z{OoY^o)&K>!P!sj9d6#!;_W0j`-#27&3jt>M<>hKP4KhB&3jtBodjn;v3Iz6PmBNf z;&OHq{OoY^o)&K>!P!sj9d6#!;_W0j`-#27&3jtBodjn;v3Iz6PmBM_wdH>L>|Gsh z-qYgkB)r#tV()PCo)&K>!P!sj9d6#!;_W0j`-#27&3jt>&)-|lZi1g3Zr;=4?Ibw+ ziM_+kds@7m1ZO|7cer^^i?@^D>?igPH}7fjzdB#eZi1g3Zr;=4?Ibw+iM_+kds_S_ zC(GGQ@Uz3sds@7m1ZO|7cer^^i?@^D>?igPH}7fjb`qTZ#NOfNJuTi&g0r94JKVgd z#oI}6_7i)DoA;7H=oP{o(pu9d6#!;{SMMxj)@|SBIPTw0JuS@3)`WJKVgd#oI}6_7i)DoAUv4aCH{o+;hnx4b_`jYkXE(vm4ma;<@pclN{lwnk<~=RmPJ*+a z*gM?3r^VksTkdZ^xU0j>ds_UbSC_M!@c!B1<~=RmPJ*+a*gM?3r^SDMZ8^IMes;Kd zPm8yc;Or;%4ma;<@pn#_vzy>&hnx5GxSu~Qm*7H=oP*-z{pZr;=4?Ibw+iM_+kds_Tg&z7^B z;Ae-M_q2FB3C@0E?{M>;7H=oP*-z{pZr;=4?Ibw+iM_+kdwSf@{lvfjU)O#j{m1`i z?I+T4Klb-;?j$(-iIn@%yW{KG+We0%FK0KwE9agzZzsXoPo$iC+WgPnT+VKSSI#|c z-cEwEpGZ0PwE3HFEoV2uE9agzZzsXoPo$iC+Ps|v_lxfx%DJb_+ez^D6DjAOHvh{H zmb07Sm2*#<|Mlz3*-h}uxu?zl=GJm{6TEWnY4dgxoc%<~xu?zF`fNG730^t(w0S!T z&VC~0+|%amBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6s zo41qT>?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%am zBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyr zJuQCjBgXT&pGb$B_q2KY2+n>Y<=oTe?Ibw+iIj6so41qT>?cyrJ#F4jg0r7UIrp@A zI|Ttej?@E)8_3YIQxl| zb5EOp=-uV)CV1uC)8_3YIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%am zBslwtlygs;x0B%PCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyr zJ#F4jg0r7UIrp@AI|7^Ur;--1BcA%DJb_ z+evt@{Y1*Sr_H}`YdO0KUOD%)__?2W<$qrLiS*yUy!I36xF7re+1y8P_7f>*H<32~ z>G=LDXE%{@?rHOO65MCw`>!eIo;Lsa#pUcKc;(#F=D#>w&TfKN&OL43PJ*+aNICbk z`M>{my1AbiKW>(DPm7=X2+n>Y9d6#!=ItXm`-zluPn*ARx}4nvubg|@yqyGRKaq0o zY4i8Jx18Msubg|@yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox|KRy@b`!jE?rHOO z5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61oySuhjQ*|^L7%v{Y1*Sr_I|*aP|`^ z=bkoiC&AfIq?~)&{KMClvzy?Rb5EPMli=(pQqDbX{*lw=>?U~S+|%amBslwtlygs; zx0B!=y?!X?o;GhM!P`%yoO{~5odjn;k#g>7^L7%P{Y1*Sr_DcpzMS0zubg|@{MT9Tzb5EOp@#b=N6TEWnY4dgxoc%<~xu?y)biSP31h1TX z+WgBmmb07Sm2*#2h`xymIbo^L7%P z{Y1*Sr_H~AWjVVEUOD%)c{>Ttej?@E)8;???s9e$ymIbo^L7%P{Y1*Sr_I|*aP|`^ z=bkqI(aCal6TEWnY4dgxoc%<~xu?y4d~rFu30^t(w0S!T&VC~0+|%amBslwtlygs; zx0B%PCsNKmZT=_Mmiy_mhjQ*|^L7&6Yd?{4?rHOO5}f@+%DJb_+evWt6DjAOHvjYY zmb07Sm2*#7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&AfIq?~)&{O_(UXE(ts=bkoiC&AfIq?~)&{O?bfvzy?Rb5EPMli=(pQqDbX-cEx1 z!}UWs_q6#xURmx>_a4f*r_I|*c)$Hb%DJb_+evWt6DjAOHg6}v{rQK7a_(vKf4Q-o z-Gt9k&OL4ZuP4jdP4LROr_I|*aP|`^=bkoiC&AfIq?~)&{Oz;l{`P}IIrp^rPp>X# zH{t!txu?zBNpSWPDd(Ox|M|7$>?U~S+|%amBslwtlygs;zjL~r-2|_kds_V5M~vrl zKambM?`iY)5uE)*%DJb_fBDLCb`!jE?rHNEZZ2mx!7JyUHg6}v*-xaLd)oYc=gZkm z@XEQT&EJ1xIlBp7Irp^rubeDrH^D3Co;GhM!P!rwoO{~5odjn;k#g>7^Itt%&TfKN z&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5Dz(`-zvoSo?|emH&6`C(?00_V2%Q zC&AfIq}-3*9beDZ=6`&7IlBp7Irp@AI|?cyrJ#GHhXUo}5@XEQT&D%+E_7f@Ro;GhM z!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0o zY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt z6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5 zodjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4LL>F`m!; zL^|BOr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox zZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)oX%?=ELI z!7JyUHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5 zodjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~ zxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHN+JiMIU1h1TX+Ps|vXFrj0?rHN+ zUS960dk*E?)8_3Yyw`pr<=oTezxn2JPd|1j=bkoiC*i&J6DjAOHvg@+mizWAhjQ*| z^Oqi2&Thi{m2*#?U~S+|%amBslwtlygs;f9`|jo`3sL&OL43PQrWbCsNKm zZT^K@%h^rv%DJb-&;7(d{9)}U(wG0QwVz1G{n+=<=1zjMpGZ0TiM07oPnNTv;FWVv zo41qTKKuTmoO{~*=NFf=oA5cxxu?y4akiY@1h1TX+Ps|vXFrj0?rHOX|Lt^hH!*(P zEa#pUKX($G{X{z4yr<3ENpSWPDd(Oxf8lgFy9r)7_q2IC3C?~Z<=oTe?|W}Ky9r)7 z_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyrJ#GHM^X2R&c;(#F=Itan`-zluPn)-s z;Or+-&OL43PJ*+aNICbkc{>U2Yqt;O+|%amBzXIYlygs;x0B%PCsNKmZQf3Tv!6&g z_q6$kuPtXc!7JyUHg6}v*-xaLd)oXXr_0$*@XEQT&D%+E_7f@Ro;GhM!99BYP|iJV z-cEwIpGZ0Pw0S!T&VC~0+|%amBslwtlygs;fBbwoy9r)7_q6%1-&oFWf>+KxZT=f4 z%h^rv%DJb_+evWt6DjAOHg6}vJ$3s~&OL4Z;@NU`6Fx^d_q6$^uP$dd!7JyUHg6}v z*-xaLd)mC61ZO{ya_(vKm+mZQH^D3Co;LsN>2h`xymIbo^L7%P{Y1*Sr_I|*aF?$i z%DJb_+ez^D6DjAOHvi(y7^L7%P{Y1*Sr_H~5ww&Drubg|@{A*X2vzy?Rb5EPM zli=(pQqDbX-cEwEpGZ0PwD~vgEN3^tE9agz|DDt2>?U~S+|%amBslwtlygs;fB(vI zb`!jE?rHOO5}f@+%DJb_fB4?U~S+|%amBslwtlygs;x0B%PCsNKmZT_Q^7^L7%P{Y1*Sr_KNBd^x)bUOD%)c{>Ttej?@E)8;=pSf>+KxZQf3Tv!6&g_q6%npDt%N!7JyUHg6}v*-xaLd)mC61owyQhjQ*|^MAau z+@J0}lygs;x0CRG`-zluPn)-s;Or+-&OL43PJ;XM4-e(s)8_wjV>!DCpQD_6+WcQn zmb07Sm2*#?cyrJ#GH;Ys=Y9@XEQT&D%+E_7f@Ro;H8ybUC{TUOD%)__>o9&*y$39d6#!=Itan z`-zluPn-YpmF4Uvc;(#F<}ch_&TfKN&OL43PJ*+aNICbk`TNe7vzy?Rb5EPU|Hg85 z6TEWnY4cw>S?U~S+|%amBslwtlygs;x0B%PCsJ?cy*J#F4j zg0r7Uxw)&@+Ps|vXFrkh?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xb0+*NFC-cEwE zpGbN4w0S!T&VC~0=B{FE^L7%P{Y1*Ur_I|*aP|`^H+L0Vo41qT>?cxw?kctxKX(%2 z`P@&W!>?V%*5>UbIQxl|cTbzQli=(pQf}@lwl;4k!P!rwynEWbodjn;k#ciav9)C&AfIq?~)& z{HG_&*-h|sM=`uRzdb+teme>7v+p0uxu@;@pI=JHI_Y_Ukuy5}f@+I^5b-Y%TAfI|U2Yqt;O+|%amBzXIYlygs;x0B%PCsNKmZQf3Tv!6&g_q6$kuPtXc!OtDV@b3Kf z{OI35I|7d%v9oXFrj0b62so`Ac_}vzy?RcTbyt_H;SB34ZP?U~S+|%amBslwtl$*PXtTtej?@Ou3~HRAAWZ^y9s{oD28+QIotE2e}3#FIQxl|o4bmw?frHVoc%<~yQj^6 zbh4b?1V48a!@Kj_^P^wCodjn;k#ciav9-PbTcNJTkx0B%P zCsN)$ZT{!)EoV2uE9agzZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_|LS}>y9r)7 z_q2IC3C?~Z<=oTeKRH>>Zi1gXis9Y)?fKEa|8^3b{Y1*Sr|tcA5}f@+%DJb_+evWt z6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKzq_`a-2|_kd)oZ}&$k_fZhqGNem{-DU_AaM z;O2zm!caWMW+rozNuFeqXT(qtLmeq}H53=2JkZTRq0C|^vj|1#q5PrHRp_#t4kfE1 zGM2$2glNhLAtv~z2#*{WY8gciV>*$s*Xz1|pWi*3`?Gp;zPGRW#m5BX@_g(hIQxl| zb5EQ9-RW|66TEWnY4dgxoc%<~xu?zBNpQcvekkXjHvfmq%l+~5hjQ*|^L7%xZ$FW8 z?rHOO5}f@+%DJb_+evVL`q81>+*NFC{?9j;vzzeeDDR#&|Cf{H>?ZiRqZr7^Y`y8_tzgD%DJb_e|lv(y9wV{&OL43PJ*+aNICbk z`OmH`XE(ts=bkoiC&AfIq}<$9Y;FGG>2h`xyz=g8@pC6JexLh^bhx#v*xJ0E1ZO{y z^6qK#pS!%A-2^{(6vMmo+waHz{+&As&Tb;*9>2T1w(r|XaP|`^@18dQ#J%P0CV1uC z)8@Z$b2+;SUOD%)`7fR7^L7&4hi@Iqxu?zBN$~a)Dd(Ox|IzK` z>?U~S+|%Yiesejy30^t(wE0iYmb07Sm2*#7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0 z?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E z_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~(N z{M<>5-{*cJ9d6#!=Itan`-zluPn)-s;Or+-&OL43PJ*+aNICbkc{>Ttej?@E)8_3Y zIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKm zZT_iS%h^rv%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E z_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)& zyqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+WfOmFK0KwE9agzZzsXo zPo$iC+Wd2umOJ;@p`3f#yq$#a+E1jMd)oZ-SC_l+%%Pln+Ps~F@7hnKoO{~*3pbW~ z@r^?{_q6$oPcCOS;rq(Dr_I0g&T@7WymIbo^L7%P{Y1*Sr_H}|d%0KNK9qA$o41qj zUHgfYb5EOp?QA)_30^t(wD`H7_{X2G{X}~Ce_H#Abli`t;FkwD`G`;Or;T;pRPU-cEwEpGZ0PwE4$Rm$RGTm2*#?cyrJ#F4jg8Rz-Lpk@fc{>T-ej?@E)8_3YIQxl|b5EPM zli=(pQqDbX{^@JW*-h}uxu?zBNpSWPDd(Ox|JBpw>?U~S+|%amBslwtlygs;x0B$$ zcKuM!J#F4jg14VYIrp@AI|7^WV9%oZSSkoO{~*cdsmG zH^D3Co;GhM!P!rwoO{~5odjn;k#g>7^WS^8oZSSkoO{~*_fMCzo8XmmPn)-s;Or+- z&OL4Z$CsD0o8XmmPn)-s;Or+-&OL4ZCqG!uZh}|NJ#F4jg0r7UIrp@AI|7^FKSkoZSSkoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|* zaP|`^=bkqI^J~lf;^jj*_q2IC3E#D!NICbkc{>Ttej?@E)8_3YIQxl|b5EQ9<-5z- zP4LROr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4g9jx18Msubg|@yqyGRKaq0o zY4g86S+KxZQf3Tv!6&g_q6%noi1lL!7JyUHg6}v*-xaLd)mC61o!*v zhjQ*|^MAO!+#f%GDCeFwZztjV_7f@Ro;GhM!P!rwoO{~5odox%A05iMr_KNQ=5lru z{v74p)8_wjvYg!nubg|@yqyGRKaq0oY4dgxoc%<~xu?zFzq8z5e|RY8o;LsKmF4Uv zd|x^Dw0S!T&VC~0+|%YiySAL&1h1TX+Ps|vXFrj0?rHN6PnWZs;FWVvi=R7*@%!9Q zq{Gd7+Ps|vXFrj0?rHO%yS$v;1h1TX+Wg~pm$RSXm2*#+KxZQf3Tv!6&g_q2IC3C?~Z<=oTezkFvoy9r)7 z_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT>?cyrJuQCjC;st2TlkY=A|3Z*|NHOU zNpSWPDfiy3@%^pMzkg{ty9s{oD28+Ax8IL`-%f(FpGZ0Pw0-}BtIOF<@XEQT&D%+E z_7f@Ro;H8?#&UKOymIbo^L7%P{Y1*Sr_I|*a38*PC^vT%Tbs9&;O!?;-aT#pqua~b zP4LROr_F!-=5lru{M=Ct@6K<(AN~91le6XQCV1uC)AoHk3C?~Z<=oTe&mJsiH^D3C zo;GhM!P!rwoO{~5odjn;k#ciav9)Ttej?@E)8_3YIQxl|o4bmw&D%+E_7f>TcNJTk zx0B%PCsN)$ZQf3Tv!6)0xvSXPyqyGRKauk8Y4dgxoc%<~&0WRT=Itan`-zlyPn)-s z;Or+-&OL43PJ*+aNICbkc{>Ttej?@Ou3~HRb`qTZM9RCT&D%+E_7f>LcNJTkx0B%P zCsN)$ZQf3Tv!6)0xvSXPyqyGRKauitSFyGDxsw>b&;3L?{MuD)ZQf3Tv!6(L_q2IC z3C?~Z<>szpYx8yzoc%<~yQj_DNpSWPDK~c&Tbs9&;Or+--aT#JPJ*+aNV&PI*xJ0E z1ZO{y@^e?QwRt-U&VC~0=dNOF^L7%P{Y1*Ur_I|*aP|`^H+L0Vn}6!oa&{B^+))hY z?$6nNKlb0h=1zjMpGdj6tJvDUZzsXoPo%ti+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt z6DjAOHg6}v*-xb0+*NFC-cEwEpGf(+tJvDSodjn;k@9m_v9)?cyrJ#F4jg0r7Uxw)&@+Ps|vXFrkhb62soc{>Ttej?@F)8?OjdO5oZ ze(orScjvd?kN)#(C&AfIq?~)&zW>~%<<32JDCeFwZztiq_7f@Ro;Lsd)#WZcb13JY zHg6~4yY>?)H+L0Vn}6ZPaxcDdDDR#&fAPuX>?VAF?kI+L=eOUF{^$47JImQk@N-8o zoIAh$e$?AZaP|`^H+L0V$Ni{(<@R!~zI`a~o;GhM;k)(|Dd(Ox|JvDdb`$*EQ4H_S zZ@(Y=>!16H*I!-xiS!@;qqUz%$Ni|cli=(pQqDbX{?n7?>?ZiRqZrpPgUMZo;3VoO{~*-|j4DH^D3Co;GhM!P!rwoO{~*-+wdR+)a#s-P%zM z@6K<(AN#-G+(~fu6X|ekSFyExf9@nW`-zmFyNa#NKYqHL-2^{(6vMgubGF}){`K2Q zaP|`^=bpCjKk@Ezb`!jE?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)oY$?k#6G z!7JyUHg6}v*-xaLd)mC61ZO{ya&uR)wRt-U&VC~0-P7jnB)G5KKa_J%o41qT?I%*s zJ#F4jg0r7UIrp@AI|+KxZT_1l%h^rvb4M|}JHP#Y^zT1A3C?~Z<=oTu zeLD&6-2Fp2_q6%*cb2o8@aHJ!o;H8s%5ruS{M=Ct@6K<(AN}`lC&AfIq?~)&zHcYN z*-xb0+*NFC{^G;s>?U~S-P7h@K3&dkf}cBz;obS|_oM&*?Ibw+iIj6s+xP7xxJ%a$ z<=oTe?Id{niIkhWimlDRes?*$30`^kw0S!T&VC~0+|%a2b#FPl34ZPe*68Xx0B%PCsJ6 z-2|_kd)oZ>PnWZs;FWVvo41qT>?cxg?kcu6|KrQc*-h}uyQj_DNpSWPDK~c&TbuvM z50Ttej?@Pu3~HRb`qTZM9RCT&HwV<?cyrJ#F4jg0r7UIrp^rU)@{IZh}|NJ#F4jg0r7UIrp^r zU!N>zH^I*x#qjR@_WRL){_P|<`-zluPuutHBslwtlygs;x0B%PCsNKmZQf3Tv!6&g z_q2IC3C?~Z<=oTee|v2?y9r)7_q2IC3C?~Z<=oTee|Nf^-2|_kd)mC61ZO{ya_(vK zb`sq0uOG^}r_KN2@^XLt{GptC+Ps~F@7qtLoO{~5odjn;k#g>7^L7&4pMG>GH+L0V zoB#98Im)}I&Hv?OIlBpd?kI+L=eOUF{_}4q!P!rwoO{~7ZzsXoPo$iC+Wh@H z%l-9-hjQ*|^PgT>&Thi@m2*#+KxZT^cV%h^rv%DJb_ z+evWt6DjAOHg6}v*-xaLd)oY$?<{9G!OtDV@b3Kf`_cdW?Ibw+iIkhWimmPYb`qTZ zM9RCT&D%+E_7f>LcNJTUpZkf|{?E0aNMHZ&*M1@$_hbM5=T3sNpGdj)ZjHa5tTtej?@E)8;?8x}4nvubg|@yqyGRKaq0oY4dk)EN3^tE9agzZzsXo zPo$iC+Ps|v_u*TIa_(vKb`re(M9R6R&3|-zIlBp7Irp^rkKbI*Zh}|NJ#GGzv*qk2 zc;(#F=Itan`-zluPn$n`u$7^L7%P{Y1*Sr_I|* zaP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC z+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAO7C(0q?cyr zJ#F4jg0r7UIrp@AI|?) z=bkqI{MF?yJaZ`Lo;GhM;k)(|Dd(Ox|H6&sUVP(F&OL4Z;*-nSP58cY?rHNcy|bL% z1h1TX+Ps|vXFrj0?rHO{++Ob0w-4pq)8_3YeAj*=<=oTeUprgQZh}|NJuQCjCocVO zYd?{`_TQ}iL^|%r-p}Svg0r7UIs1vU`A<)lvzy?Rb5EPMli(hV_t%tjPn-Yj{Bm{^ zymIbo^MAXuoZSSkoO{~5odjn;k#g>7^MC)%baOW`{&lmQds_V5NpSWP>2UL&Hg6}v z*-xaLd)oZtr_0$*@XEQT&D%+E_7f@Ro;Lr)yUW>4@XEQT&D%+E_7f@Ro;GhM!P!rw zoO{~5odjn;k#g>7^Iy8RoZSSkoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&7K?{-Kxt!euubg|@{5MaQvzy?Rb5EPMli=(pQqDbX z-cEu$cmGh%J#GH{o#pH%{5i_Gr_EouvYg!nubg|@yqyGRKaq0oY4dgxoc%<~xu?xv ze7Kz51h1TX+WgC>%h^rv%DJb_+evWt6DjAOHg6}vUAlfK=bkoiC&AlKq?~)&{Ofm@ zvzy?Rb5EPMli=(pQqDbX{#*B!vzy?Rb5EQ9_RZz&CV1uC)8_3YIQxl|b5EPMli=(p zQqDbX-cEwEpGZ0PwE6GcS?U~S+|%amBslwtlygs;x0B%PCsNKm zZQf3Tv!6&g_q6$6-CNFXf>+KxZQf3Tv!6&g_q6$6pDbrL!7JyUHg6}v*-xaLd)mC6 z1ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~*Z?7$9H^D3Co;GhM!P!rw zoO{~*?@pJqo8XmmPn)-s;Or+-&OL43PJ;XW^+P%LwD~_=Uha>dKa_J%o41qjefx=& zb5EPMli=(pQqDbX-cEx1(~l12+|%a&d~-Rw34e}q?rHOXIa$tbf>+KxZQf3Tv!6&g z_q2IC3C?~Z<=oTe@84POuRlDLb5EQ9^vZH}6TYvUd)mC61ZO{ya_(vKpIuwdZh}|N zJ#F4jg0r7UIrp^rho{TgP4LROr^U~m#Q1&gC(_~OJ#F4jg0r7UIrp^r&s|>5Zh}|N zJ#GH+yUW>6@XEQT&D%+E_7f@Ro;Lr)z2)pCc;(#F=D%=rIlBp7Irp^rFP7^IyKRoZSSkoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|* zaP|`^=bjcn_Y;?%TlM{ojA*PJ*+a*gM>Nx5ocFE&lyW%h^rvv%}4M zTD+YEXFsubxOq>D|KRF!b`$*UaPyuPZzsXoPwX9T-qYgm-dN6Vf}b63-qYgkBslwt zy~E9WTD+YE_u*TQbhvp>i?@^D?I-pQH}7fjAKhNgZi1g3Zr;=4KYnvLy9s`FxOq>D z|Kw~ry9s`FxOq>Dx0B%PC-x3E?`iR850;7H=oP*-z{p zZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i?@^D>?igPH}7fjb`qTZ#NOfNJuTi&g0r94 zJKVgd#oI}6_7i)DoA!P!sj9d6#!;_W0j`-#27&3jtBodjn; zv3Iz6Pm8yc;Or;%4ma;<@pclN{lwnk<~=RmPJ*+a*gM?3r^VYzaP|{>hnx4bcsmKs zeq!%%^PU!OC&AfI>>Y02)8g$UIQxmc!_9kIyqyGRKe2bXc~6VCli=(p_6|4iY4LUv zoc+Y!;pROp-cEwEpV&Lxyr;+g+(`^K_Y-^n!`e@b|NfNUkNy9@n>z{4eq!%%_7nVU z`M#Y5XFsubxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pwE#6Lov!B>I+`Om7+evWt6MKi7 z_q2FB3C@0E?{M>;7H=oP*-z{pZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i+}3Ya&{B^ z>~Qm*7H=oP*-z{pZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i?@^D>?igPH}7fjb`qTZ z#NOfNJuTi&g0r94JKVgd#oI}6_7i)DoA!P!sj9d6#!;_W0j z`-#27&3jtBodjn;v3Iz6Pm8yc;Or;%4ma;<@pclN{lwnk<~=RmPJ*+a*gM?3r^P?} z^m29+{OoY^o)&K>!P!sj9d6#!;-9;;+_}dd>2UL&7H=ovyY>@%hnx4b_~)-Kcj1{w zI^4Xc#oI~vuKmQ`;pROp{)HRMz4*o>9d6#!;x9hAoZW=)&ki^5Y4I<;vz*-oKRevK zr^VYzaP|{>hnx4b_*ZT(_v+h^bhvp>i?@^TUHgf>!_9kI{A*{+*-h}X!_9ko+|T{Q ztN&{4C-(mH+E2Wi{;Q+!XLBdP*-z{p&VGWQE&kJ!bhvp> zi~sEWa&{B`oY~>#JuUukcb2o8;Ae-M_q2FB3C@0E?{M>;7XSD0&N|%OP3#?R-qYiL z?j$(-iM{{4_7mejzx;mezrW9&1ZO|7cR2eAeztu7@zdq(CivOm<~=RmPJ*+a*gM?3 zr^P?!P!sj9d6#!;_W0j`-#27&3jtBodjn;v3Iz6PmBN3z2)pC z_}Ss+JuTi&g0r94JKVgd#oI}6_7i)DoA!F}caBOPwu)8g$U zc>9UH!_9kIyqyGRKe2bXc~6VCli=(p_6|4iY4J~ATh4BRpB--A)8g$UIQxmc!_9kI z{8vwxvzy>&hnx4bcsmKseq!%%^PU!OC&7K~`Xe1~-qYgkBzXIYy~E9WTD+YEXFsub zxOq>Dx0B%PC-x3E?`iR0zqg#-1V206yr;!~IkUsfds_U3E6dqU@Uz3sds@7m1ZO|7 zcer^^i?@^D>?igPH}7fj7auNXH^I*iH}7fjFP|=FH^I*iH}7fjb`qTZ#NOfNJuTi& zg1dD6kq$TSY4LUvy#2)9;pROp{`I@d*-h}X!_9kIyqyGRKe2bXc~6V~*1hHICivOm z<~=R`+c%f9o8V`MoA!P!sj9d6#!;_W0j`-#27&3jt>ckV1_ zH^I*iH}7fj-@US&-2^{7+`Om7+evWt6MKi7_q2FB3C@0E?{M>;7XQ76%h^rvv%}4M zTKxA!P!sj9d6#!;(vOwoZSRJJKVgd#oI}6_7i)DoA?igPH}7fjKfkuzFJ6A6 z!_9kIyq$#a+E45qZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i~r@j%h^rvv%}4MTD+YE zXFsubxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pwE&f;cmb07SXNQ~jw0JuS&VFL=aPyuP z|Lc?G>?Zix;pROp-cEwEpV&Lxyr;$6NpSWPdxx9%w0JuS&VFL=aPyuPZzsXoPwX9T z-qYgkBslwty~E9WTKsRXEoV2u&ki^5Y4LUvoc+Y!;pROp{&%O#*-h}X!_9kIyqyGR zKe2bXc~6VCli+@T{gDng?`iRWxV+pSKmSOFoADf8yS9b`$*U zaPyuP|Am{&*-h}X!_9kI{1;D_vzy>&hnx4bcsmKseq!%%^PU!OC&AfI>>Y02)8fB; zXF0nGes;KdPm8yc;Or;%4ma;<@pclN{lwnk<~=RmPJ*+a*gM?3r^o%=PrUNKto=m# z>VLKN6Y01g`{!@&BslwtlzZ>i`0Lr){QH-dvzy?Rb5EPMli=(pQqDbX{)4N_*-h}u zxu?zBNpSWPDd(OxfA_|6b`!jE?rHOO5}f@+%DJb_+evUAzI7<)o;GhM!P`%yoO{~* zN4J-=o8XmmPn-Yv&E@PSc;(#F=07=G&TfKN&OL43PJ*+aNICbk`LhSh*-h}uxu?zB zNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaL zd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P z{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox zZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{y za_(vIb00B&pZke)xOq>Tw~yfLCsNKmZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6s zo41qT>?cyrJ#F4jg0r7UIrp@AI|7^UprLoZSSkoO{~5 zodjn;k#g>7^Uqyc?%ZRCa_(vKb`rj8Kaq0oY4gutUGBm&hjQ*|^L7%xYd?{4?rHNc z+*t0#HxA|8)8;Qext!gE?&D%-v_7f@Ro;GhM!P!rw zoO{~5odjn;k#g>7^G{z}&TfKN&OL43PJ*+aNICbk`LCWXXE(ts=bkoiC&AfIq?~)& zyqyI1wd;p+?rHOO61@FH%DJb_+evWt6DjAOHg6}v*-xaLd)oZh?=5FH!7JyUHvf&A z%h^rv%DJb_fAeHHy9r)7_q2IC3C?~Z<=oTe?IgH!_YdXV)8^0LS7^L7&4rR#@s?rHOO61@FH%DJb_zkYW)y9r)7_q2IC3C?~Z<=oTezjbdpy9r)7 z_q6$M-(1daf>+KxZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6soBz(8?cyr zJ#F4jg0r7UIrp^rpI=+<7cU>mxu?zBN%*e)M9R6R&D%+E_7f@Ro;GhM!P!rwoO{~* zFW+6xZh}|NJ#F4jg0r7UIrp@AI|T;x1UHk_q2IC3C?~Z<=oTe?IgHA{pe86J#GHa zH zuPkRb;rq(Dr_I|*aP|`^=bkqI*|p{DCV1uC)8_3YIQxl|b5EOpc)Fb31h1TXTKwEc zjNj*eA{}nt)8_3XIQxl|b5EQ9+~wu$CV1uC)8-$)yPVwwubg|@yqyGRKaq0oY4cCq zTh4BRSI#|c{tGvkvzy?Rb5EQ9;>mJ$6TEWnY4dgxoc%<~xu?zBNpSWPDd(Ox|K&T& z*-h}uxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHIJKk*O$a_uMfezf)z|B(L6 zqyPKw+(~fu6MKhy@7DN#r^Ua2X*s(Ies;KdPm8yc;Or;%4ma;<@gH1W&TfLA9d6#! z;_W0j`-#27&3jt>-5blS6XE(vm4ma;<@pclN{lwnk<~=R` z?7?z&6a4IO^PU!OC&AfI>>Y02)8g$UIQxmc!_9kIyqyGRKe2bXc~6VCli=(p_6|4i zY4LUvoc+Y!;pROp-cEwEpV&Lxyr;$6NpSWPdxx9%w0JuS&VFL=aPyuPZzsXoPwX9T z-qYgkBslwty~E9WTD+YEXFsubxOq>Dx0B%PC-x3E?`iRN5}f_S-r?pwE#6Lov!B>I z+`Om7+evWt6MKi7_q2FB3C@0E?{M>;7H=oP*-z{pZr;=4?Ibw+iM_+kds@7m1ZO|7 zcer^^i?@^D>?igPH}7fjb`qTZ#NOfNJuTi&g0r94JKVgd#oI}6_7i)DoA>m%pF4@+ z=6+)DM{7SZ{`*sYKlcCsZtf&F`-#27*-!AZ<@D zx0B%PC-x3E?`iRN5}f_S-r?pwE&i!n%h^rvv%}4MTD+YEXFsubxOq>Dx0B%PC-x3E z?`iRN5}f_S-r?pwE#6Lov!B>I+`Om7+evWt6MKi7_q2FB3C@0E?{M>;7H=oP*-z{p zZr;=4?Ibw+iM_+kds@7m1ZO|7cer^^i?@^D>?igPH}7fjb`qTZ#NOfNJuTi&g0r94 zJKVgd#oI}6_7i)DoA2UL&7H=ovyY>@%hnx4b_!n+0_u?Cm zbhvp>i@*5fa&{BGKRevKr^UbY&T@7W{OoY^o)&K>!P!sj9d6#!;$OMF+^cUt(&6Sk zE#6MTckL(k4ma;<@vogNXE(vm4ma=VaXE>=?{Oe{p_q6!Ali=(p(&6SkZQf3Tv!6&g_q6%PPnWZs;FWVvo41qT z>?cyrJ#GGpcbBu9;FWVvo41qT>?cyrJ#F4jg0r7UIrp@AI|Ttej?@E)8_3YIQxl|b5EQ9`n~1sCV1uC z)8@Z%b2+;SUOD%)`EQ;qXE(ts=bkoiC&AfIq?~)&yqyGh?*5^id)oZ@JImQk_;ZwV zPn*ARWjVVEUOD%)c{>Ttej?@E)8_3YIQxl|b5EPU_;5M930^t(wE34$m$RGTm2*#< zx0B%PCsNKmZQf3TyLA0f&OL43PJ*|eNICbk`Pc6*XE(ts=bkoiC&AfIq?~)&{I~8c zXE(ts=bkqI?VHQlP4LROr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0oY4hK?vz*-o zubg|@{CBS`XE(ts=bkoiC&AfIq?~)&yqyGRKaq0oY4hKExSZVtubg|@{P$0nvzy?R zb5EPMli=(pQqDbX{>PV>vzy?Rb5EPMli=(pQqDbX{wF_J&TfKN&OL43PJ*+aNICbk zc{>Ttej?@E)8>DAvYg!nubg|@yqyGRKaq0oY4bljznt9!ubg|@yqyGRKaq0oY4dgx zoc%<~xu?zBNpSWPDd(Ox|MP3h{o>_AIrp@AI|<*lpGZ0Pw0S!T&VC~0+|%amBslwt zlygs;|K+>O*-h}uxu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHPCy0@I&1h1TX z+Ps|vXFrj0?rHPCK3UFgf>+KxZQf3Tv!6&g_q2IC3C?~Z<=oTe?Ibw+iIj6so41qT z>?cyrJ#F4jg0r7UIrp^r-(FkJZh}|NJ#F4jg0r7UIrp^r-<>XJH^D3Co;GhM!P!rw zoO{~5odoy$>xXjgY4d-$yxbo@e<6PW|CVXEx_q2IC3C?~Z<=oTeKfAV^-2|_kd)mC61ZO{ya_(vK4^Nk~o8Xmm zPm7;BiShf~Po%@md)mC61ZO{ya_(vKpS!%A-2|_kd)oZtcbBu9;FWVvo41qT>?cyr zJ#GGpd&}8P@XEQT&41zMa&{BEa_(vKUp!gPZh}|NJ#F4jg0r7UIrp@AI|7^L7&4hi@Iqxu?zBN$~a)Dd(Ox|IzK` z>?U~S+|%Yiesejy30^t(wE0iYmb07Sm2*#7^L7%P{Y1*Sr_I|*aP|`^=bkoi zC&AfIq?~)&yqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0 z?rHOO5}f@+%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E z_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~(N z{M<*3-{*cJ9d6#!=ItXm`-zluPn)-s;Or+-&OL43PJ*+aNICbkc{>Ttej?@E)8_3Y zIQxl|b5EPMli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKm zZT_iS%h^rv%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E z_7f@Ro;GhM!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)& zyqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+WfOmFK0KwE9agzZzsXo zPo$iC+Wd2umOJ;@p`3f#yq$#a+E1jMd)oZ-SC_l+%%Pln+Ps~F@7hnKoO{~*3pbW~ z@r^?{_q6$oPcCOS;rq(Dr_I0g&T@7WymIbo^L7%P{Y1*Sr_H}|d%0KNK9qA$o41qj zUHgfYb5EOp?QA)_30^t(wD`H7xcFbM{Y3iGzg_!@bli`Ttej?@E)8@Z)Z#laOUOD%)c{>Ttej?@E)8_3YIQxl|o4bmw&D%+E_7f@Zo;GhM z!F}cap`3f#yqyGZKaq0oY4dgxoc%<~xu?zBNpSWPDd(Ox|Ma!x>?ZiRqZr2h`x{M=Ct@6K<(AN}`l zC&AfIq?~)&zHcYNUAlfK=bkoiC&AlKq}<$9Y;FGayUW>4@XEWV&D%+E_7f@Ro;Lrj zd&}8P@N-8oygR@Be)RwT-@dt=-2^{(6vMgm+wVucodjn;k#ciav31;!dOHcuej?@P zu3~HRb`qTZM9R-y#n$G(b7wib34ZP;LYR7^FP0~+%H}}lygs;x0CQ)`-zmByNa#N+evWt6DdD;6fUm8 z6TEWnY4dgxoc%<~xu?zl`eZq~34ZP7^L7%P{Y1*Sr_I|*aP|`^=bkqI+iT0&P4LROr_I|*aP|`^=bkqIyVK?D zCV1uC)8_3YIQxl|b5EPMli+@T{ZP(5ZT=6Jm;2-A59Qp`=ItbW-+m(H+|%amBslwt zlygs;x0B%h^rJ($xvSXP{GV?wXE))`QQkdm{x2uX*-h|sM=`uRzx{snpMN_E&VC~0 z+|%}bI|7^PgQ?&TfKN z&OL43PJ*+aNV&PI*xLNV)8*_Yc;(&G;^$6c{66;+>2PaTv9)Ttej?@E)8@Z?XF0nGe(orScjvd?kN)Rx zC&AfIq}<$9Y;E7Sli=(pQr7^L7&4hi@Iqxu?zBN$~a)Dd(Ox|IzK`>?U~S+|%Yi zesejy30^t(wE0iYmb07Sm2*#7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)& zyqyGRKaq0oY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+Ps|vXFrj0?rHOO5}f@+ z%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM z!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~(N{M<>5-{*cJ z9d6#!=Itan`-zluPn)-s;Or+-&OL43PJ*+aNICbkc{>Ttej?@E)8_3YIQxl|b5EPM zli=(pQqDbX-cEwEpGZ0Pw0S!T&VC~0+|%amBslwtlygs;x0B%PCsNKmZT_iS%h^rv z%DJb_+evWt6DjAOHg6}v*-xaLd)mC61ZO{ya_(vKb`qTZM9R6R&D%+E_7f@Ro;GhM z!P!rwoO{~5odjn;k#g>7^L7%P{Y1*Sr_I|*aP|`^=bkoiC&AfIq?~)&yqyGRKaq0o zY4dgxoc%<~xu?zBNpSWPDd(OxZzsXoPo$iC+WfOmFK0KwE9agzZzsXoPo$iC+Wd2u zmOJ;@p`3f#yq$#a+E1jMd)oZ-SC_l+%%Pln+Ps~F@7hnKoO{~*3pbW~@r^?{_q6$o zPcCOS;rq(Dr_I0g&T@7WymIbo^L7%P{Y1*Sr_H}|d%0KNK9qA$o41qjUHgfYb5EOp z?QA)_30^t(wD|dc_QHR;-p|q(|Ks(3mX3RUKjXZgrQCbB#$V6Y=HI`xoOd&RzM~E2 z&TqdT{l0fH&ih%)xu@;>A6#9|yBV*Xd)mBrGS2&1%DJb_-@UP%cQal&_q2KMWSsZ2 zlygs;_fE!r_|~D^d{^7rymvC*`&r7nr_FzKdpYlBymIbo^B=#toOd&RzM~EA&TqdT z{rl&Wv*o;-@yfZU?fc%zIPYgE=bkoy_Fy^hX1sFlY4hI6IPYgE=bkq2os9E-mU8o5 zZEN%1$vE$4Des;(@12bEewK3cU2SXg-pM%cXDRQVHt(H`^M00c^IdIg^WMog?`J9R zo;L5DjPrh$a_(vK-pM%cXDK(|)wVY8os9E-mh$smZEN%1$vE$4Des;(@12bEewK3c zU2SXg-pM%cXDRQVHt(H`^M00c^IdIg^WMog?`J9Ro;L5DjPrh$a_(vK-pM%cXDR2N zHt(H`^M00c^IdIg^WMog?`J9Ro;L5DjPrh$a`RnnYxCa8IPYgE@18dAos9E-mU8o5 zZEN%1$vE$4DL>!UwiZ9%$;R*V{VW}Ry{m0)-a8rR{Ve6()8@UCao*2TZoaE+ZQeT> z=lv|@-P7j1lX2e9Qf|JhZEfB=8Rz{h<=xZfy_0d?&r)u_t8H!GI~nKwEam6B+Sca1 zlX2e9QhvUxZEfB=8Rz{h<=xZfy_0d?&r)u_t8H!msawl=H{<6!+HmgvobC5x|NU#e zlX2e9Qf|JhZEfH8PR4mZOL_OSdGBPL_p_99Pn-8n#(6(WIrp@A?_`|!vy^jBoA*w} zc|S|J`L4FLdGBPL_p_9r?`m6{_fE!nKTG-fuC}##?_`|!vy^vFoA*w}c|S`z_q2KM zWSsZ2lygs;_fE!nKTEm!uC}##?_`|!vy`9jYFnH4PR4mZOL_OS`DdSA&bt{u-_eG5 z=eOUF{`2ddjPrh$a_(vS{&Sa>JNMY3oO{~5cQW7gewK3XY4gutUGBm&hjQ*|^WMpP z*ZWz@&3Cn}&A)JCxfkC!ly^^?zxd>G-pzb}zM~EA&TqdT{m<{Ecb4;R#?N=O;oSM{ z_oLoB8Rz{h<>tHE)^R`TU%9>9t8X95yQj^2C-YtJXDR2NHvih$a^B7O`HnWcJHP#Y z?5}^mpI!LB*ZW!eZ~y1@ewL2=QUBhpe{q5HewK3XY4h)2TF$!}ubg|@ymvCr`&r7l zr_FzGbvf^5ymIbo^WMog?`J9Jo;H8?#&X`xc;(#F=Dm|~-p^9bJ#F4Q8Ta8^hjQ*| z^WMpL?`J9Jo;Lr{?d80i@yfZU&42vna^B5&<=oTeKRH{@yBV*Xd)mBrGS2&1%DJb_ zpFLR4yBV*Xd)mBrGS2&1%DJb_dne<(pQW68+Prr%&ih%)xu?y0C*!=IrJQ@(ymvCr z`&r7lr_FmO=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6&)8@UC zao*2T&OL43I~nKwEalwO=D+sla^BB)<=oTey_0d?&r;4kZQeT>=lv|@+|%a0lX2e9 zQqDbX{@?t&<-DKq%DJb_Kl}7@-pzRB+|%a0lX2e9QqDbX{<%xboqOz1&OL43JDKl# zKTA3HwE5?+E_dOXLpk@fdGBPt>-{X{+|%Y?xUt-eZyd_Gr_En{ayjp2zOS5n+WbrJ zEa%;fSI#|c-a8rR{Ve6&)8=2fz1*vBAIiC>&3h;FUGHZp=bkqI+Szj6&3NV9)8gm* z+4HZj_p|iEtHE*5=lv|@+|%a0 zlX2e9Qf|JhZEfB=8Rz{h<>$NF*5=lv|@+|%a0lX2e9QqDbX-a8rR{Ve6?yV};~ zy_0d?&r;q!ZQeT>=lv|@=DXU~=Dm|~-p^9rJ#F4Q8Rz{h<>tHE*5+waHz``3IY!Uwl?pbjPq`m^6qK#&py4JcQbyzqYdxQZ@(Y?=hr(K=lv|@ z+|%~`=PoUG?y*BT_q2KMWWMYDEalwO=AXa1+=XWj<=oTey_5N__p_9n?`m6{f8oY* zFTQao@18b)@yX@9oB95HM;qRq-+n*(pWjRGEa%;fpYLeHx%1oaN4Q=pQW68+WZSQmV5DyLpk@f`HN33=iSWrm2*#v%UX*y`Mdo z{_FmJ#(6*6JKTG>#{WAl{{2hKc{k%{hnx4bc<*GK_p`mj&3jt>2UnN#ZpP0JH}7fj z-pM%cXM2a6_q6!CH;7Vn*m z^M1B>xOq>D_fE!nKifOpyr;!`C*!=I?Hz93)8f68ao*4N4ma;<@!rWe?`M05oA-}u}_ow`R?0>(U?_`|!v%SN4KjUZ1_q~&G-p}?9H}7fj-pM%c zXM2a6_q2HLWSsZ2y~E9WTD*5M&imQk;pROp-a8rR{cP`W^PU#(os9E-ws*LBPmA|X z#(6*6JKVgd#d{~?yr1nIZr;=4y_0d?&-M;C?`iQ*-CEAO89zJRyr;!`C*!=I?Hz93 z)8f68ao*4N4ma;<@!rWe?`M05oA-p%;g;pROp-a8rR{cP`W z^PU#}%I)P|efyCPH}7fj-pPE|``O;%<~=R`wX@~CoAI;5&3k&>&-b%${*UYZEd5XZ zi}ik%j{C9y{x;vqIPYgE_uj34aUpH~{Y%SvH{+FaPn-8n#(6(WIrp^r53Vlf-Hcbx zJ#F4Q8Rz{h<=oTe@7`F>yBV*Xd)mBrGS2&1%DJb_dne;QeCtroJ^larvZK(?^ZmZ- z<5~zT$Hp}M(Go;GhM}M(Go;GhM}M(G zo;GhM}M(Go;GhM}M(Go;GhM}M(Go;GhMmU8ZC^LHL>_xdZBa_(vKb~4|!pQW68+Wg%|+ueKZQqDbX-cIJb_Oq08 zPn&<^@pf;%cPZzdHh=%s?d)d0ubg|@{97MvXE)=Ob5EPMlX3R5lygs;fBV^X?>xDb zb5EPMlliXwEalwO=HI>A&Thsl=bjcn``NdBb?;~C?O)mZS-S3ZKjZ9YDfi*i8~4)Y zKYFm8-HcbxJ#F4j#@WwO&OL4Z<44=s&3NV9)8_4Doc%22+|%YidAyz7j91P*ZQf4C z+0RnWJ#F4j#(nz!rJQ@(yq%1 zUOD%)c{>?rKTA3HwE3&gx3in^%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB z$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm z&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3 zxu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQcl zS<1Pm&D+U1`&r7lr_I~RIQv=3xu?a?PPTrZ{VZK>?rHOOGR}UMa_(vKb~4U>mU8ZC z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71 z?rHOOGR}UMa_(vKb~4U>mU8ZC^EaPvXE)=Ob5EPMlX3R5lygs;x07-9vy^jBo41p3 z_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPM zlX3R5lygs;x07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jB zo4RbXDR2NHh=fgcK2Splygs; zx0Cs<{Ve6&)8^lJyxp7cUCOzq&EJ1@JG+_hE9agz|JDcF+0A(6+|%amWSsph<=oTe z-+s2;J5Mg<+|%amWWH-ZOF8$n`FF3jvzzhCxu?a?e)gpw-uqelZTI(nmaco<&p7*8 z%6<5B{dtZy|Ivf(>}LGzXv?|t$M4sE-%iHa&r;4kZQuX+(ROw-UOD%)c{>?rKTA3H zwE0gSZ)Z2-m2*#{Ve6()8?N)+s}LGzXv@3v$M4tv_vgjcc6KvfIrp@E-%iHa&r;4kZT{->?d)c}a_(vKb~4U> zmU8ZC^L8@MewK2xs~v6LPR7~KQr zcC>jr8D~FBdH1w=I~iv`OF8$nc{>?rKTEmU)s8lAC*$mADL=c~(dO-Boc%22-P7jn zWSsphmU6SJ9c|uD#@WwO-aT#JPR7~KQf_v& zqs`mNIQv=3&#rc~_}R(U@3Wt!%kN$7X!CY5&VH8i?rHOOGR}UMa?rKTG-9)s8lAC*$mADL=c~ z(dO-Boc%22-P7jnWSsph?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OS#$Ajy7*6mh$dt^S5tpXE)<#M_b;VKYqXVf4_Dz&VH71?rHn}od?^! z{>r7Cd)mC6%y;c)Dd(OxfA`UL_g=e{b5EPMlliXwEahfbJKFpkkGFgCy-RubwE6q5 zZf7_1{n^o$cju4aul?uu)(6|!&G^~TmUHKi->>y{GR}UMa}M(Go;LsSqwVZwymIbo^L8@MewK3XY4e{v-p+2u zE9agzZztpIXDR2NHg6~6K7IdE&OL43PR85MQqDbX{`s@*>}I@j?rHO%J>1T2#w+KZ zHvi&kJG&XLoO{~5os6@erJQ@({MF~%+0A(6+|%amWSsph<=oTe?PQ$&EalwO=Ivyh z{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%am zWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO z=Ivyh{Ve6&)8_4Doc%22+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22 z+|%amWSsph<=oTe?PQ$&EalwO=Ivyh{Ve6&)8c0*TffhKmM%B?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp^rn@_j1oAJuIr_I~RIQv=3xu?zB$vFF2 z%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1 z`&r7lr_I~RIQv=3xu?y4@!@v%GhR9Ow0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n z`7eECJNp^0oO{~*?OWT~&3NV9)8_4Doc%22+|%aoJlO8_S1#q;)8_4DzH2{AIrp^r zyN|ZJ_u8eLd)mC6%y;c)Dd(Ox|Hk9(-hA&;&OL4Z{;S*B&3s=u_q6%9KG@D~#w+KZ zHg6~6>}M(Go;LsXv+dq_aw+GYHg6~MUHe(exu?y)d$pb2j91P*Eq?a1Z~dOVpQV4` z>-T<^u6y0jIQv=3efV_!d5$*!(Sz;mX8i1E%enK%@7I3cPR7~KQqDbX-~ag0c6Kvf zIrp@AI~iv`OF8$n`A;5iXE)=Ob5EPMlX3R5lygs;x07+7zJDn?&Thsl=bkqI*~9JZX8i1E%e(W(@7MnK=f%}_b~9c%_q2WAPR7~KQqDbX{_6AX z>}I@j?rHOOGR}UMa_(vKb~4U>mU6SJ9c|uD#@WwO-aT#JPR7~KQf_v&qs`mNIQv=3 zyQj_D$vFF2%FV8Jw0S!jXFp4M_q2IC8D~FBIrp@AI~iv`OS#$Ajy7*6-7fyq%1*pQXHe+Ps~Nv!A8h>}p4wx07-9vy^vFo41p3 z_Oq08Pn)-sarU#6b5EPMlX3R5l$%}cX!CY5&VH8i?rHOOGR}UMa-7f{LQD^+0FRb(Ux=f?{oZq-QT}v zC*$mADL1>?(e`~i8D~FBdH1w=I~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FB zx!Ki@Hg6~6>}M%IyV}v_?PQ$&EahicJKDURjI*DmynEWbos6@arJQ@(yq%1*pQW68 z+Ps~Nv!A8h>}p4wx07-9vy`7*?P&9MGR|(6^6qK#w{LA{H{)kVTi%^Ne!upAzjiXt zewK3XY5V@22iv{=%B7rp+Pt02ckO2>=bkoy_tAFuUb~cYPn)-s`L6ve=bkqI?$vg7Gk$io<=y$?_v`-rv!A{8%X>dd|J9$| z`&qi~*ZL2i-nhrv&r;4kZT_PN+u6-{<=oTe?PQ$&EalwO=0AS4o!yLA&OL43PR7~K zQqDbX{*%Ys+0A(6+|%amWSsph<=oTe?PT1i?_bKfr_I~Rc>7t(xu?xPf3}_7j91P* zZT_=|+u6-{<=oTeUtDcxH{+FaPn)-sarU#6b5EPU`g}XP8Lyms+Ps~Nv!A7$d)mC6 zjI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@( zyq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$ zd)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@e zrJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ?O{On}w_u0?V<>sC? zZztpIXDR2NHg6~6>}M(Go;GhM}M(G zo;GhM}M(Go;H8;>2`KAUOD%)c{>?r zKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OF8$nc{>?rKTA3HwD~VS+|GW+E9agzZztpIXDR2NHg6~6>}M(G zo;GhMh?`NF-?Cf$MK3)GhE&ihi+u62h;Vi?@?;_Or9g%{?vt z<44=s&G_kZb5D!6lX3R5v&+prE&h|o+u62h;Vi?@?;_Or9g%{?vNPR4!u{!3kM z?rHIMGTwf6cDcEy#Xo9aHb~Apu+}zXR?PQ$& z?Cf%LPm90$d^@`tKV5F_Y4LV4&VF`wxw)ss+sQcl+1cgho)&K>cDcEy#oNg^``Ov$=AIUBC*$mAXP29MTD+Z%v!9(^ZtiLEb~4U> zc6PbBr^VaJIQ!Yz<>sCiZztpIXJ?n2ds@7mjI*DeU2g7a@pdxKes*@bxu?b3$vFGj z+2!V*7H=ox>}O|}n|oTkos6@eon3D3Y4LV4&VF`wxw)ss+sQcl+1cgho)&K>cDcEy#oNg^``Ov$=AIUBC*$mAXP29MdcB{WY`NLb z&i>Bc&(`0c^80oF|K;ptoc-+Va`rQRTE1^5 zcDcEy#oNg^``Ov$=AIUBC*$mAXP29MTD+Z%v!9(^ZtiLEb~4U>c6PbBr^VaJIQ!Yz z<>sCiZztpIXJ?n2ds_U>r`y@h_~~+UPm8ycarU#b%gsG4-cH8Z&(1D4_q2FB8D~E` zyWHH<;_YOd{p{>=b5D!6lX3R5v&+prE#6MX+0V`{H}|x7I~iv`JG~eEYi?@?;_Or9g z%{?vNPR7~I&Mr6iwD{Y%wzHe@)8*!#7H=ox>}O|}n|oUPod?^!{>n>TZtiLEb~4|! zpPgN9?rHINA8mKT+{Wi?@^cuKn!na&u3MfA?xT zyBR-SZtm&ze)h9(`CEHGOaGN0-1}L&?$`bOZFVxwewK0{KD}`-ZT_PN+u6-{<=oTe z?PQ$&EalwO=0AS4o!yLA&OL43PR7~KQqDbX{*%Ys+0A(6+|%amWSsph<=oTe?PT1i z?_bKfr_I~Rc>7t(xu?xPf3}_7j91P*ZT_=|+u6-{<=oTeUtDcxH{+FaPn)-sarU#6 zb5EPU`g}XP8Lyms+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~N zv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5 zos6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68 z+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*Dm zoO{~5os6@erJQ?O{On`v_u0?V<>sC?Zy)3AXDR2NHg6~6>}M(Go;GhM}M(Go;GhM}M(Go;H8;>2`KAUOD%)c{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv` zOF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!j zXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q6%jx3;sJ@yfZU z&D+U1`&r7lr_JAau-)sgT*|qp&D+U**M63A?rHOPA8mKsCi|M8>k>}LFQxw)ss+sQcl+1cgho)-VfsCiZztnEegCB{H}|x7I~i|3JG=b5D!6lX3R5v&+prE#6MX+0V`{H}|x7I~iv`JG~eEYi?@?;_Or9g%{?vNPR7~K z&Mr6iw0JuiXFof;+}zXR?PQ$&?Cf%LPm8ycarU#b%gsG4-cH8Z&(1D4_q2FB8D~E` zyWHH<;_YOd{p{>=b5D!6lX3R5v&+prE#6MX+0V`{H}|x7I~iv`JG zcDcEy#oNg^``Ov$=AIUBC*$mAXP29MTD+Z%v!9(^ZtiLEb~4U>c6PbBr^VaJIQ!Yz z<>sCiZztpIXJ?n2ds@7mjI*DeU2g7a@pdxKes*@bxu?b7e7c?8jGrzy_q2FB8D~E` zyWHH<;_YOd{p{>=b5D!6lX3R5v&+prE#6MX+0V`{H}|x7I~iv`JG~eEYi?@?;_Or9g z%{?vNPR7~K&Mr6iw0JuiXFof;+}zXR?PQ$&?Cf%LPm8~OYdgCcKV5F_Y4LV4&VF`w zxw)ss-+8dz>#w}j<>sCiZzuCz``Ov$=AIUR_tAFuUVEv_%{?vNPUgGzv$M<1JuUu? z$J@R6-b-C>?rHJ&U)|1b=KIs-=AIV+)(6|!&G_kZb5D!6lX3R5v&+prE&lCi+r9JT zr7k!3w0Jw2@7mAKE;skI_;;_ivzzhL<>sDV?`J>zrXSz?S^6*k;k}=w>wewe-)1M{ z>}M(W;nN%U(&j&Uu$|qESI#|c-cH8Z&r;4kZT{m&+u6-{<=oTe?PQ$&EalwO=0AD7 zo!yLA&OL43PR7~KQqDbX-cH7S`u?Syd)mC6jJKbqoO{~*^Jm-H&3NV9)8;>WxSidM zSI#|c{>9aHb~9c%_q2IC8D~FBIrp^rtIxNyoAJuIr_I~RIQv=3xu?zB$vFF2%DJb_ z+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7l zr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2 z%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1 z`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm#m_#rexLm;U2g7a^Y$^$ewK3X zY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UM za_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHNkpKfP2=bkoy z_tAFuUb~cYPn)-s`L6ve<=oTe-*~*;o9|u9xu?zFe|0;%neQv-o;Ls12iw`rc;(#F z=Ivyh{Ve6&)8^lPw%t2VF6G?Q=Ivy@Yd=dl_q6$UueP(B@yfZU#m|0r>$moPmVV>k z-TPU(?sY%o>}M(W;nN%U(&j&Uu$|qESI#|c-cH8Z&r;4kZT{m&+u6-{<=oTe?PQ$& zEalwO=0AD7o!yLA&OL43PR7~KQqDbX-cH7S`u?Syd)mC6jJKbqoO{~*^Jm-H&3NV9 z)8;>WxSidMSI#|c{>9aHb~9c%_q2IC8D~FBIrp^rtIxNyoAJuIr_I~RIQv=3xu?zB z$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm z&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3 zxu?zB$vFF2%DJb_+sQclS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQcl zS<1Pm&D+U1`&r7lr_I~RIQv=3xu?zB$vFF2%DJb_+sQclS<1Pm#m`Q*exLm;U2g7a z^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71 z?rHOOGR}UMa_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHNkpKfP2=bkoy_tAFuUb~cYPn)-s`L6ve<=oTe-*~*;o9|u9xu?zFe|0;%neQv-o;Ls1 z2iw`rc;(#F=Ivyh{Ve6&)8^lPw%t2VF6G?Q=Ivy@Yd=dl_q6$UueP(B@yfZU#m|2B zFa5yY&(d4py7#km-RpkF+0Rn$!>8-dbF}%79&Bef<7Y=(&YeGgzxMlfGR}UMa_(vS z{>P8DvzzhCxu?zB$vFF2%DJb_fAV-cyBV*Xd)mC6jI*DmoO{~5os9eR{Y$yo)s8lA zC*$pBDes;(|NPl@b~9c%_q6%X9&Tqh<7Y=(-km>wzxKaBFRr$;oAJuIr|tW8GR}UM za_(vKSD$ZZH{+FaPn)-sarU#6b5EPMlX3R5l$%}cX!CY5&VH8i?rHOOGR}UMa}M%AyV}v_?PQ$&EalzP=Ivyh{Ve5XS3BCgos6@e zrM!FEyq%1*pQW68+Ps~Nv!A7$d)mC6jI*Dm-0W&co41p3_Oq0CPn)-sarU#6n_cZ_ z^L8@MewOm?Y4dh6&VH71v#T9#-cH8Z&r*JNwWGz)PPTrZ{VZL6?`lVzx07-9vy^vF zo41p3_Oq0mUF~S|b~4U>mh$dt^L8@MewK2xs~v6LPR7~KQr}M%AyV}v_Z$915ZpP1!ww$|v zpX2xI{{A&P8D~FBx!Ki@w(r}?IQv=3yQj_D$vFF2%DJb_+sQclS<1Pm&D+U1`&r7l zr_I~RIQv=3&8~K|c{>?rKTG-9)s8lAC*$mADL=c~(dO-Boc%22-P7jnWSsph<=oTe z?PQ$&EalwO=Ivyh{Ve5XS3BCgos6@erTpw_N1L~karU#6cTbzYeQP_r89zJP^6vcc z`?df3wUcr7vy^jB+xPE0*zWaLF6G?Q=Ivy@Yd=dl_q6%DkG8w_+NGR(+Pt02ckO2> zH@n)==HGa{-J9=S%Dbn{-+y&GyP5CLj<&ozfBb&!Kfkv=*v@Xo&yKd7JAeFst+$hL z_Oq0mUG3<)U+dp~w%t2VF6G_R=Ivy@Yd=dl_q6$UueP(B@w1~X@6I2;U-##q{p=h5 z{@%~hfAMeb{VZMgYyF2$Z`|YTXDR2NHviFs?d)c}a_(vKb~4U>mU8ZC^B+Ij&Thsl z=bkoiC*$mADd(Ox|H}I@j?rHOOGR}UMa_(vKb~5hM_b=t#)8_4Dy!|ZY+|%Zt zKikf3#w+KZHvief?d)c}a_(vKFRr$;oAJuIr_I~RIQv=3xu?xveZHODj91P*ZQf4C z+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43 zPR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4k zZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO z&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDases;3; z`|M}wa&u3cx07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jB zo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jBo41p3_Oq08Pn*B_bUV8l zubg|@yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~N zv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+WZ$EZf8H^m2*##pYh7Mr_JBKwVmCJSI#|c-cH8Z&r;4kZT`-K z?OuQ7QqDbX-cIJb_Oq08Pn*B{XuEr_UCOzq&D+U**M63A?rHOHJl^ij_b%n!)8_BL zx}Dw3_my)`n}6$r?d)c}a_(vKb~4U>mU8ZC^KU=f?wu!>a_(vKb~4|!pQW68+Wfm$ z+u6-{<=oTaXFvNF{?Ojf(r@^Edp}Fpz3yk6{Ve4^e7gQTN1OlX!FF~tes;9w-1+18 zYrk(N}M(O zo;GhM-7fyq%1*pQXHe+Ps~Nv!A7$d)mC6 zjI*Dm-0W&co41p3_Oq0qUF~S|b~4U>mh$dt^L8@MewK2xs~v6LPR7~KQr?rKTCP{ zw0S!jXFp51+0~9VZztpIXDRQVHg6~6>}M%AyV}v_?PQ$&EahicJ6ingWb60Y&(h`h zu6DF}I~iv`OL_OSc{>?rKTEmU)s8lAC*$mADes;(ZztpIXDK(k+R^6iWSsph<=xZf z?PQ$&EahfbJKDURjI*Dm{OoE+o41p3_Oq0qUF~S|b~4U>mh$dt^L8@MewK2xs~v6r z=F{!$X8i1E%enjaIex$H?_aZ%arU#6n_cZ_`@Wrwv!A8Bd)mC6jI*DmoO{~5os6@e zrJQ@(yq%1*pQW68+Ps~Nv!A8h>}p4wx07-9vy`7*?P&9MGR}UM^0TWQZQf4C+0Rnm zJ#F4j#@WqM&OL43PR7~KQqDbX-cH8Z&r)u7wWH13$vFF2%FnKLw0S!jXE#fE_q6%j zx3;sJ@w1~X@6I2;U;DpbI~iv`OF8$negDpb?OuQ7QqDbX-cIJb_Oq08Pn*B{XuEr_ zUCOzq&D+U**M63Av#T9#{*A}mz4_jyynEXG{a3fMoB96iXv@3v$M4tv^Ly)q?d)d! z>}bom^T+SkdOI0sKTEmU)sC+Fwf^mA+r9JTQrrlb$|ZZ&%XW__I{TB^FO-xvvl3B^&dXHagVc~rJQ@({6`PAvzzhCxu?zB$vFF2 z%DJb_fBa}WyBV*Xd)mC6jI*DmoO{~*Cy%$YoAJuIr_I~RIQv=3xu?zB$+%D7zm#)N zo41qk_Oq08Pn&=KY&*Ldubg|@{AUlhvzzhCxu?y)xZ2Kc#w+KZHg6~6>}M(Go;H8= z`F3_QUOD%)c{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3H zw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FB zIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?r zKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp^q*~!-Lv!A8Q%{^`2PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO z&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z z&r;4kZT{xd?d)c}a_(vKb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vK zb~4U>mU8ZC^L8@MewK3XY4dh6&VH71?rHOOGR}UMa_(vKb~4U>mU8ZC^Iv?po&Ahg z&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#GF=U)j!n#w+KZHh=rpc6KvfIrp@A zI~iv`OF8$n`8yA`d;OJ5Irp@AJDKm=&r;4kZT{|~?e4vHDd(OxZzuCz`&r7lr_I0d zc)K^>yOeWJo4^0+c6Kx0SI#|c{;dzTvzzhCxu?zB$vFF2%DJb_zx`~xcb;6zxu?zB z$$Zy-mU8ZC^Y315XE)=Ob5Dz({p{<$Y42xee`D`wUzdJU-_JPv+1ceje7gR1TKq>3 zwzHe@)8*!#7H=ox>}O|}n|oUP$B(wNoAJ}-=AIUBC*$mAXP29MTKp%Ex3in^)8*!# z7H=ox>}O|}n|oTkos9eR{g=Aj+|%OiWW4?C>~eEYi+}!XJG&V_U2g7a@t-~1&Ths} zmz#T9{EMsY>}LFQxw)ss+sQcl+1cgho)&-g`F3_Qe!AS;)8g%9oc-+Va&u3Mx07-9 zv$M<1JuTi&#@Wx#E;skIcsm(qKRdhJ+|%OiWSsr%>~eEYi?@?;_Or9g%{?vNPR7~K z&Mr6iw0JuiXFof;+}zXR?PQ$&?Cf%LPm8ycarU#b%gsG4-cH8Z&(1D4_q2FB8D~E` zyWHH<;_YOd{p{>=b5D!6lX3R5v&+prE#6MX+0V`{H}|x7I~iv`JG~eEYi?@?;_Or9g z%{?vNPR7~K&Mr6i^m;!#*>ba=o&AlypRK~eEYi?@?;_Or9g%{?vNPR7~K&Mr6iw0JuiXFof; z+}zXR?PQ$&?Cf%LPm8ycarU#b%gsG4-cH8Z&(1D4_q6z%Pq(w1@zdqzo)&K>cDcEy#oNg^``Ov$=AIUBC*$mAXP29MTD+Z%v!9(^ zZtiLEb~4U>c6PbBr^VaJIQ!Yz<>sCiZztpIXJ?n2ds@7mjI*1aU2g7a@pdxKes*@b zxu?b3$vFGj+2!V*7H=ox>}O|}n|oTkos6@aon3D3Y4NvjZD%**r_0SfE#6MX+0V`{ zH}|yoI}f&d{gs!x+}zXR?PR`dKRdhJ+|%OkKHBcyYcF-Vxu?b3$$Zy-c6PbBr^UbV zc)K^>d#TIKJuUwJtJ~Sle1E#!+|%OU`d~Y|89!Za?rHIMGR}T>cDcEy#lQV*yLXUHjSD<>sCi|L)axb~Apu+}zXa{p@Eqe|qm{=|A^BvzzhCxu?zB$vFF2%DJb_fAV-c zyBV*Xd)mC6jI*DmoO{~5os9eR{YyFbw0S!jZ$C>p_q6%v&$hFh@yfZU&42cAJG&XL zoO{~*i>vMIX1sFlY4dh6&VH71?rHN^pKoV3}M(Go;GhM}M(Go;GhM}M(Go;GhM}M(Go;H8`)^>I?UOD%)c{>?rKTA3HwD~&^wtM}ROF8$nc{`c!+RswXJ#GH( zqwVg!b}8qcHg6~MUHe(exu?y)@p!v8-@BA^Pn*C0>UMTB-&f8(ZT_tfwzHe@%DJb_ z+sQclS<1Pm&Azq8e zy`QD)UiUN3ewK0{KD}`-ZT_PN+u6-{<=oTe?PQ$&EalwO=0AS4o!yLA&OL43PR7~K zQqDbX{*%Ys+0A(6+|%amWSsph<=oTe?PT1i?_bKfr_I~Rc>7t(xu?xPf3}_7j91P* zZT_=|+u6-{<=oTeUtDcxH{+FaPn)-sarU#6b5EPU`g}XP8Lyms+Ps~Nv!A7$d)mC6 zjI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@( zyq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$ zd)mC6jI*DmoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@e zrJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ?O{On}w_u0?V<>sC? zZztpIXDR2NHg6~6>}M(Go;GhM}M(G zo;GhM}M(Go;H8;>2`KAUOD%)c{>?r zKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC z8D~FBIrp@AI~iv`OF8$nc{>?rKTA3Hw0S!jXFp3h_q2IC8D~FBIrp@AI~iv`OF8$n zc{>?rKTA3Hw0S!jXFp3h_q6%jx3;sJ@yfZU&D+U1`&r7lr_JAau-)sgT*|qp&D+U* z*M63A?rHOPA8mKrlwcodsarU#6b5Gm% zKYp~G-HcbxJ#F4j#@WwO&OL4ZlgHcH&3NV9)8_4Doc%22+|%amWZb9kU&_s{cC>jr z8E-#JdH1yW=g+pYoAJuIr_F!%a67vhKRep;?)>rlwg3HjakZV@j91P*ZQr+(arU#6 zb5EPU`g}XP8Lyms+Ps~Nv!A7$d)mC6jI*Dm-0W&co41p3_Oq0CPn)-sarU#6n_cZ_ z^L8@MewOm?Y4dh6&VH71v#T9#-cH8Z&r;q!ZQf4C+0RnWJ#F4j#@WwOZg#b!&D+U1 z`&r7*u6DF}I~iv`OL_OSc{>?rKTEmU)s8lAC*$mADes;(ZztpIXDK(k+R^6iWSsph z<=xZf?PQ$&EalwO=Ivyh{Ve6&)8_4Doc%22W>-7fyq%1*pQXHe+Ps~Nv!A8h>}p4w zx07-9vy^vFo41p3_Oq0mUF~S|b~4U>mh!W!9W8!#vi1AyXX)~LS3BCgos6@erM!FE zyq%1*pQYUFYDb&5lX3R5ly^^?x07-9vy_`%?P&9MGR}UM^6qK#b~4U>mU6SJ9c|uD z#@WwOes;B^&D+U1`&r7*u6DF}I~iv`OL_OSc{>?rKTEmU)s8lQ^XYbWGk$io<=p-I z9KT=p_pjN>IQv=3&8~K|ecw*T+0RnmJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4k zZQf4C+0RmLcD19;+sQclS<26@cC>jr8D~FB`PtQuHg6~6>}M(Oo;GhM}I@j?rHOOGR}UMa_(vKA3xg8ZpJI; zo;GhMZ(pX1sFlY4dh6&VH71?rHOOGVas&FXi0R=Ivy>{Ve6&)8?N) z+s}I@j?rHNcuC}wA@yfZU&D+U1`&r7lr_En|zMb8SSI#|c-cH8Z z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j z#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX z-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnW zJ#F4j#@WwO&OL43PR7~KQqDbX-cH8Z&r;4kZQf4C+0RnWJ#F4j#@WwO&OI%DcCz*R z>}Tn6b5EPMlX3R5lygs;x07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs; zx07-9vy^jBo41p3_Oq08Pn)-sarU#6b5EPMlX3R5lygs;x07-9vy^jBo4@&VJG&XL zoO{~5os6@erJQ@(yq%1*pQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@(yq%1* zpQW68+Ps~Nv!A7$d)mC6jI*DmoO{~5os6@erJQ@({1+c?XFubWb5EPMlX3R5lygs; zx07-9vy^jBo41p3_Oq08Pn-YJSGKdC@yfZU&ELMYo!yLA&OL43PR7~KQqDbX{?3E# zUVr6M&OL43PUgGzvy^jBo4@;LyL+!)%DJb_+sS;_ewK3XY4dM9-tNu!F6G?Q=I_6{ zo!!j$m2*#}I@j?rHOOGR}UMa_(vKZ$I1aohO%a?rHOOGT*hIrJQ@({JU4% z+0A(6+|%N}@w~&W&&UH-6-${?3hkPw!m2pMB#W{f_Pa=sCi|L|A0 z`!|2)r7k!3wD|A&{_XzQx4hKl=AIV+$9{9Wf9n@t>T+{Wi~rsq+wR}~&X>B}+|%O! z_}6Ur$A9gmE;skI_&@Qp+x!<{q{>;ZtiLE|L!ks_a}eir7k!3 zwD|A;?(P26*S^%{=AIV+r(SIL@BQtUy4>8;;vfCcc7OWrOI>d6Y4Lyhcene2zxPs? zn|oUPpZTfn{_OX@)aB-$7XRgM*zU{!;H54%_q6zb|L3>+4}RpOE;skI`1ikKyZ3+Z zr7k!3wD|w8;;{W{DZ1?AX?WHa^_q2HL_doTw_WPZ_@`L;RPS^dq|Nmp&Y0mqda^CN> zdG9pm{Z2Xew0Z9|=lxDO_q2KMH0S+JIrp@A?=9d!-aE~Czt1i=_q2HLH0S+3yWHH<;=R+H_xtQ}b5D!+PIKPxv&+prE#5oL zdB4vtH}|x7?=~eEYi}y}*-tV)^%{?vNJI#5&&n`Fjw0Q3{=lwpr+}zXRz0;ic z`|NUaPmA|XbKdW>%gsG4-aE~Czt1i=_q2HLH0S+3yWHH<;=R+H_xtQ}b5D!+PIKPx zv&+prE#5oLdB4vtH}|yo^?u*||9*Vl@3X)6hv)sio37v6-@nJL_xmij-tF<){CcOy zt@ryZ@18cl-sy4c{XWaNr_HZ-dfa-y&vNc*^Xr`+x8CowoO{~*dZ)*&_xmj8o;JVU z>2d4*KFhhM&98TQ+zy9A-tV)Vd)oYZr^l`L`z+_4HoxBKaqIm)%ekk` zuXlRfdcV(d?rHPuogTN|@3WkH+WdN_$F2AKEa#p!zuxI_>-|2t5e)&ikEm-tV+|?=qndSPIKPx zl%IF~X!G7_&ikG6?rHPhY0mqda`UbqZQeW0dB0QMJ#F4Q&3V65Zr=5y&3mUg?{~_( zr_Fn(Iq!GM&AWcIdG9pm{Z4uJw0Z9|=lxE(dDo9N@15qn-zo2&Ht(J0yx%D|@A}c^ zz0;icJLTQe=DpLL_dDh0T|e5qcbfBlr~JI@N1OLfbKdWipLhLe^WJIB`)8@U?ocBBB+|%a0 z)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd z=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB z+|%a0)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;ic zJLTNd=Dpwl_aEBtclu9$-+sT-b+7L?=lxDO?|0g~cbfBlr`){jN1OLfbKdWipLhLe z^WJIB`oA*w0-tUx~cl~Jd-f7PJo$~H!^WJIB`<-(0 zt{-jQJI#5&Q-0p{qs@D#Iq!GM&%1uKdG9pm{Z4uJw0ZCM|Md&|{Z4=PNB8@ku6upI zIq!GMdB4-)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd z=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB z+|%a0)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;ic zJLTNd=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd=Dpwl_?!0oefBr@ z`~8p8Z|d(i=lwprocEib7Vn+ryx(V+n|oTkcbfBlpIvV5Y4P4^&ij3Kxw)ssd#5?? z_u1vsCi@15qn-)EPbds@7An)802 zU2g7a@!o09`+at~xu?Z@r#bKU+2!V*7Vn+ryx(V+n|oTkcbfBlpIvV5Y4P4^&ij3K zxw)ssd#5??_u1v(dNC=ocBBB-P7j1)13D^<>p;K+Prs~^M0rNyz57s_fB)( z@06c+{b=*vY0mqd^6qK#-tT|->-+sqfBWb5`<)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd z=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB z+|%a0)13D^<=oTez0;icJLTNd=DpLL_dDg>)8@U?ocBBB+|%a0)13D^<=oTez0;ic zJLTNd=DpLL_dDg>)8@U?ocBBB+|%a0-~Ze9?DsqUhhM+n?{wYk`^|a3Q_lOHHt(J0 zyx%D|@A}c^z0;icJLTtHKia%^n)80AynEWbcbfBlr`){jN1OLfbKdWipLhLe^WJIB z`(dNC=ocBBB-P7j1)13D^<>p;K z+Prs~^M0qid)mBrn)80A+`Q{YoA*w0-tUy3cl~Jd-f7PJo$~XpA8p<{&3V65-aT#J z`~AQE@_xV5-}=e@ey8hR-*3+QopRpqw0Z9|=lxDO_q2KMH0S+JIrp@A?=wUH5Ch|I1(4?w6lm z%DJb-&woyT@Xr3v@9gjF|NQ=7dgt2jfBS#>vp2r|&-^bpzWqP@@}+nL+dck!mvZiD^Z(UPZTI8fdnxChHvgBuVY|Ql4=&~0)8_x{ zpWp5$e&kZlJ#GH4e8+Zw<@YY-+|%a&n_u1T$iB`TV@C|p+&I_OAeJg?vRzt64i zr+UwP&%B;9&;MUNpLxFbV>$P_{Ez(n?f!)y|5(nwF8?pSyWQ{mfsf_f>+-+v7jE}2 z{q)Cj?sfTp`J3DQD?jwHoO@mVM?bsWkAC=A&b==GWAAVGum14Ia_)8c-~Z-zzyB9M zmUFMm|7$;UyMO&hK9+N@%l{j1Z}$g&*~fD3b@@N=H*WU_f8t{~_qzO#|DN0Zn?Lxm zoO@mV5B<{H{h^=zSkApJ|8M=+?f&iG`LUdPUH%XMirf9+zxA=4dtLtD`KjCek>C5V zoO@mV{pYv)qu=|noO@mVkN*7a{@ow{SkApJ|L?uK-5>jbkLBF!@_+0XZujs1^v81U zb@~6`o7?^IANp9%y)OR~pWW^!K71_aUYGw5-{0;(`r(h|-0Sjx;?3>;#4mm<=U$ip zkALQN|H+ShEazUA|4-lE?k9iQ$8zp<`Jen7xBID|_*l-pF8`nXp4$I_v7CEd{!jgi+x@A(^|73LUH(u1)a`!y_kJwrUYGx` zKEK_c`QDG^-0Sjx=I3wsU;p^Wa_)8c|K{E8{_GEYEazUA|FgevyZ`p5KbCW^%m2A= zZuc`k^s$_KUH;F1cDq0S;bS@Xy8M6l{&xTU4}UD@UYGw1Z*KP&e(_^D_qzQ5@H4mj zAAjUyIrqB!UwnJJpZ#SY%emL(fA(+O?tl7;kLBF!^8fSix!wQrgCEPe*X94xFTLGg z`q_`=-0SlH>yO>;fBT&u%emL(f9_Y@?&tp2$8zp<`Jex(+x_pq_hUKty8O4F-|jDe z@5gfPb@{*i^SApye*9xO_qzQ5`R;aqE+J74sYk;>|akWckXp~I~QmFdV0BYufyB9IQ!Sr%bj~2 z{>%5bvw!iYmpk`5{8w*oXaC|)FL&;Bcsmzo|9X14bFagH{q}bDFaGp$=U#`mb8+^s zr*?jry$)~Z;_P2fFL&;Bcsmzo|9X14bFahOxj6gR z)61QE9p28x*}tA%?%eC}b}r8T_4IP*UWd1HarUpLmpk`5yq$})e?7h2x!2+CT%7&u z>E+J74sYk;>|akWckXp~I~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e- z^m6B3hqrTa_OGXxJNG)gor|-7J-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sa%O7ia%^ zdbxA2!`rzy``6RUoqHYL&c)fko?h+p6i&i?iEa_3%$w{vm!ucwzg_d2|ti?e?{ zz1+Fi;q6?U{p;!F&b+qpRV*VD_LdmY}+#o51} zUhdrM@OCcF{`K^7=U#`mb8+^sr*?jry$)~Z;_P2f zFL&;Bcsmzo|9X14bFahOxj6gR)61QE9p28x*}tA%?%eC}b}r8T_4IP*UWd1HarUpL zmpk`5yq$})e?7h2x!2+CT%7&u>E+J74sYk;>|akWckXp~I~QmFdV0BYufyB9IQ!Sr z%bj~2-p<9@zn)(1-0SdmF3$e-^m6B3hqrTa_OGXxJNG)gor|-7J-yty*WvA4oc-(R z<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy``6RUoqHYr+s|)j|Kd+CckXp~I~QmF zdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SckzPX+Ki$A^Gx!2*p`|Ni1FaGp$=U#`m zb8+^sr*?jry$)~x`ltWky?@nz=6BuuSH14@|Gzl< zSLN(pb$L4%XaB04dtKhn#o50q=U$h$b8+^s%DLC&zj${$yBDvVdtKhn#o50q=U$h$ zb8+^s%DLC&?OdGwt8(sj`7ht!&hEu4=U$ip>do!!Uc7Sdb$L4%XaB04dtLtPx3{x< z@yfZ^+p z|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q z_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECX zarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P z7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6` zI~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8 zw{vm!ugbaC+p|EipOUH;q8Z)f-7m2vwv02y)OUZo7>sF zc;(#d^51=SJG&RJoO@l~&c)fkD(7C8w{vm!ugbaCOZ~LBm|9bj! z_x|i6vZi?e?{y`24vKONrA#o51}UhdrM@OCcF{`K^7=U#`mb8+^sr+qpRV*VD_LdmY}+#o51}UhdrM@L#^ao!yH+z1+Fi z;lFxwJG&QudbxA2!`rzy``6RUoqHYr>$kVFd-122JNG)gor|-7J-yty*WvA4oc-(R z<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy``6RUoqHYL&c)fko?h+p6i&i?iE za_3%$w{vm!ucwzg_d2|ti?e?{z1+Fi;q6?U{p;!F&b+qpRV*VD_LdmY}+#o51}UhdrM@OCcF{`K^7=U#`mb8+^sr*?jry$)~Z;_P2fFL&;Bcsmzo|9X14bFahOxj6gR)61QE9p28x*}tA% z?%eC}b}r8T_4IP*UWd1HarUpLmpk`5yq$})e?7h2x!2+CT%7&u>E+J74sYk;>|akW zckXp~I~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e-^m6B3hqrTa_OGXx zJNG)gor|-7J-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy``6RU zoqHYL&c)fko?h+p6i&i?iEa_3%$w{vm!ucwzg_d2|ti?e?{z1+Fi;q6?U{p;!F z&b+qpRV*VD_LdmY}+#o51}UhdrM@OCcF{`K^7 z=U#`mb8+^sr*?jry$)~Z;_P2fFL&;Bcsmzo|9X14 zbFahOxj6gR)61QE9p28x*}tA%?%eC}-+q2OyBB|YxpS|>+qpRV*VD_LdmY}+#o51} zUhdrM@OCcF{`K^7=U#{Z@XhV)Ui|6h&bUE$0|HawADrfhq%iFm)`&Z@M z>+*Ik&i++7_qx2Di?e@K&b==G#k+*Ik&i++7_qx2Di?e@K&b=;g=i=;N zm2+)Z}y`9~QSI)gIZ|CCdUzKyO z%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30 zuglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7 z_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3Q zbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{ zIrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;N zm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8T zRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCd zUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2n9+|KUBE9YL9|L(Kf*}Zt> z-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iF(x%ip~Buliem^4`Ddb)WkeXaB04 z{i`l-=i=;Nm2vwv02y)OUd``g*Qc;(#d@?X8Vo!yI9&b=;g=i=;Nm2vwv02y)JL(;_P3Q zbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{ zIrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;N zm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8T zRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCd zUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J z>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik z&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL( z;_P3QbFa&P`}ytcUc7Sdb$L4%XaB04dtKhn#o50q=U$h$b8+^s%DLC&KYVjLyBDvV zdtLs!&u(Y;;+1o+%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g|N19?$Gv~m-}1}v z{i|O0xqor?ugclK>hg9j&i++7_qx2Di?e@K&b=;g=i=;Nm2w3SPi?e@K&b=;g=i=;Nl{>rGtIL1+{&sdRUU~Pr{8w*oXZPZj zbFa(Wxj6e*<=pG?U%$Pb-HSgv*yY{%*Yi()e|9d;{#7~my54W+;_P3QbFa(Wxj6e* z<=pG?b}r8TRk^c^y}G=ei?e@K-n}kw=i=;Nm2 zvwv02y)JL(;_P3QJG|d2T zyV$GC+qpRVSLNO7@^&uH{#7~my1bo>vwv02y)JL(;_P3QbFa(Wxj6e*<<2hl>hg9j z&i+;Tvx~jDyq$})e^uVSE^p`J>|d2TyV$GC+qpRVSLNO7@^&uH{#CiNi@mzMor|-7 zRo=ZWZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i+-ovx~jDyq$})e^uVSE^p`J>|d2TyV$GC z+qpRVSLNO7@^&uH{#CiNi@mzMor|-7RsQT^uP$%r;_P3QcdyIaxj6e*<=pG?b}r8T zRk^c^y}G=ei?e@K-n}kw=i=;Nm2+*Ik&i+-ovx~jDyq$})e^uVSE^p`J>|d2TyV$GC z+qpRVSLNO7@^&uH{#7~my1bo>vwv02y)JL(;_P3QbFa(Wxj6e*<<2hl>hg9j&i++- z_qx2Di?e@K&b=;g=i=;Nm2|XrY!7lI4zn*{c?>9RaXaB04dtL9hb8+^s z%DLC&?OdGwt8!--dv*B_-`vjb#h)GQa_)Z4>-i^t|L;D#o!yI9&b_Yp+qpRVSLNL6 z@^&uH{#7~my1bo>vwv02y)JM6`ptjw-oNTU@uTOeKR-JcXaB04dtKhn#o50q z=U$h$b8+^s%DLC&?OdGwt8(sj`7hqx&hEu4=U$h$b8+^s%DLC&?OdGwt8(sjc{>+p z|EipOUH;4Wx3hck%DLC&zj|{!yBDvVdtKhn#o50q=U$ip`t9xPUc7Sdb$L4%XaB04 zdtKhn#o50q=U$h$b8+^s%DLC&?OdGwt8(sjc{>+p|EipOUEa>c*}p31UYECXarUpu zx!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&f zoO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmF zs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm! zugbaC+p z|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q z_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYGy& z^V`|Ic;(#d@^&uH{#7~my1bo>vwv02y)JL(;_P3QbFa&P_~v$YFJ3wKy8L&a-OldC zE9YL9w{vm!ugbaCrGtIONDIQv)S-RtspF3$c{IrqA}or|-7RnEOG|HZr8*}eF)gI(U8e?9-?=V#~Q z>|d30uj~DGF3$c{IrqA}or|-7RqpI!uP*=P``g*Qc;(&e@?X8Vo!yI9&b=;g=i=;N zm2w3SPi?e@K&b=;g=i=;Nm2F3$c{dH1@!or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2D zi?e@K?(AZ(E^p`J>|d2XyV$GC+qpRVSLNO7@^&uH{#7~my1bo>vwv0Y>|(DjZ|CCd zUzK;S%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nl{>rGtIONDIQv)S&o1`r z@^&uH{#AMRy1bo>vwv0Y>|(DjZ|CCdUzK;S%iFm)`&Z@8F81p3b}r8TReATiyq$}) ze^t)CE^p`J>|d30uglxHIQv)S&Mx-q@^&uH{#AMRy1bo>vwv0Y>|(DjZ|CCdUzK;S z%iFm)`&Z@8F81p3b}r8TRr#}vy}G=ei?e@K-n}kw=i=;Nm2 zF3$c{dH1@!or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@8F81p3b}r8TRr#}vy}G=ei?e@K z{_J9}E^p`J>|d34uglxHIQv)S&Mx-q@^&uH{#AMRy1bo>vwv0Y>|(DjZ|CCdUzK;S z%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nl{>rGtIONDIQv)S-RtspF3$c{ zIrqA}or|-7RnEOG|Ly0uvwQJp2fMsG|9bw(zu)X!oc*hE?sdK2&c)fkD(7C8w{vm! zugaZW?A7Hzd~-Xy7k_rJ%enhGujilq{lEL{c6KjbIrqBWZ|CCdUzKyO%iFm)`&Z@M z>+*Ik&i++7_qx3O>xcgMy?@nz?04V$SH13^{QT@(oc*hE?sa)P7ia&foO@l~&c)fk zD(7C8w{vm!ugbaC<-d4$JG&RJoO@l~&c)fkD(7C8w{vm!ugbaC|VTb?sa)P7ia&foO@mV>$kVFd-2M-*X8Y8oc*hE?sa)P7ia&f zoO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmF zs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm! zugbaC+p z|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q z_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECX zarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P z7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+*Ik&i++7_qx2Di?e@K&b==G;hWppy?Eu^>+;`yc00Qlubg{b-p<9@ zzbfZmm$!3q_OHsh*X8Y8oc*hE?sa+l*Khj1d;fa+*YEx7H`VXk`xj^bdU`qg7k@gu zor|-7J-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sfPt-rdgb#h+g8-0SdmF3$e-^m6B3 zhqrTa_OGXxJNG)gor|-7J-yty*Wtf>e>=Mue|oudufu=!=5}^3{`7L^UWd1HarUpL zmpk`5{MT=9XZPYyFL&;Bcsmzo|9X14bFahOxj6gR)61QE9p28x*}tA%?%eC}b}r8T z_4IP*UWd1HarUpLmpk`5yq$})e?7h2x!2+CT%7&u>E+J74sYk;>|akWckXp~I~QmF zdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e-^m6B3hqrTa_OGXxJNG)gor|-7 zJ-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy``6RUoqHYL&c)fk zo?h+p6i&i?iEa_3%$w{vm!ucwzg_d2|ti?e?{z1+Fi;q6?U{p;!F&b+qpRV*VD_LdmY}+#o51}UhdrM@OCcF{`K^7=U#`mb8+^s zr*?jry$)~Z;_P2fFL&;Bcsmzo|9X14bFahOxj6gR z)61QE9p28x*}tA%?%eC}b}r8T_4IP*UWd1HarUpLmpk`5yq$})e?7h2x!2+CT%7&u z>E+J74sYk;>|akWckXp~I~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e- z^m6B3hqrTa_OGXxJNG)gor|-7J-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sa%O7ia%^ zdbxA2!`rzy``6RUoqHYL&c)fko?h+p6i&i?iEa_3%$w{vm!ucwzg_d2|ti?e?{ zz1+Fi;lKU-c6KlR^m6B3hqrTa_OGXxJNG)gor|-7J-yty*WvA4oc-(R<<7kh|KXe4 z*}eGF%bj~2{=3g^XZPYyFL&;Bcsmzo|9X14bFahOxj6gR)61QE9p28x*}tA%?%eC} z_OE~BpTGC7`j7tBd;hA}eg6L!XaB04-K#Ed=i=;Nm2vwv02y)OUd``g*Qc;(#d@?X8V zo!yI9&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)C zE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M z>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02 zy)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S z-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K z&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(Wxj6e* z<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7 zRnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa&P`}ytcUc7Sdb$L4%XaB04dtKhn z#o50q=U$h$b8+^s%DLC&KYVjLyBDvVdtLs!&u(Y;;+1o+%iFm)`&Z@M>+*Ik&i++7 z_qx2Di?e@K&b=;g|N4#p-@Sj;fB4Vc`&Yg0bN}M(UzM|e)#dG6oc*hE?sa)P7ia&f zoO@l~&c)fkD(7C8|Ki>4>|VTb?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC<-dG? zJG&RJoO@mVt2ei^d-2M-*X8Y8oc*hE?sfUE-`>vd#VhAtm$!3q_OHsh*X8Y8oc*hE z?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@ za_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fk zD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@ zzbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c z*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8 zoc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+*Ik&i++7_qzOtZ*FJz;+1o+%YXOT?d)E>a_)6`I~QmF zs+@aW-p<9@zbfZmm$!3q_OHsh*X8YB|Ij~s?_c#d{;GTbs@Hw)U!47`a`vyfyq$}) ze^t)CE^p`J>|d30uglxHIQv)S-0SjRyt|#|d30uglxHIQv)S&Mx-q@?XBco!yI9-n}mW)tlSdy?Eu^>+*Ik&i++7_qzPoZ*OP! z;?E9td3XNx{FC3Gor|-7RnEPx_uIKR`&Z@M>+*Ik&i++7_qx2Di?e@K?(AZ(E^p`J z>|d34uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@8F81p3 zb}r8TRr#}vy}G=ei?e@K-n}kw=i=;Nm2F3$c{dH1@!or|-7 zRnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i+-ovx~jDyq$})e^vhMVy`Z5=i=;Nm3Obp z+qpRVSLMzw_UiI>F3$c{dH1@!or|-7RqpI!uP$%r;_P3QcdyIaxj6e*<=pG?b}r8T zRXO*%yq$})e^u`6Vy`Z5=i=;Nm3Obp+qpRVSLMzw_UiI>F3$c{dH1@!or|-7RqpI! zuP$%r;_P3QKfBng%iFm)`&Z@N>+*Ik&i++7_qx2Di?e@K?(AZ(E^p`J>|d34uglxH zIQv)S-0SjoF3$c{IrqA}or|-7RqpI!uP$%r;_P3QKfBng%iFm)`&Z@9F81p3b}r8T zReATiyq$})e^u`6Vy`Z5=i=;Nm3Obp+qpRVSLMzw_UiI>F3$c{dH1@!or|-7RnEOG zZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i+-ovx~jDyq$})e^uVSE^p`J>|d30uglxHIQv)S z-0Sk+ettW<7k_rJ%e(Wh=b!xh&CbQyzbfZm*Zb{Uoc*hE?sa)P7ia&f+}XumUH-#2 zx3hckX9v5SyPxxV{>k6}yU%WC_u`dvuj~DGF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO z%iF&`|Eu@@RsX?1a_?XDx_|QXvvYCwugbaC+p|EipOUEa>c*}p31UYECXarUpu zx!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&f zoO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmF zs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm! zugbaC+p z|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q z_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC|VTb?sa)P7ia&foO@l~&c)fk zD(7C8w{vm!ugbaCjhJ&c)fkD(7C;`|Vtu{i|~Bb$L4% zXaB0)*~MO6{>%5bvwQK%yVvEvdUHFw7q6UqUEa>c*}p31UYGy+?d|Md{Mo@S@6NxT zfAagYb8+^s%DLC|emfUu|EipOUEa>c*}p31UYECXarUpuon7qJ+p|Ek>C#a>+p|EipOUEa>c*}p1xcClBNw{vm!ugafY?A7J%T%7%@^6qtcI~QmFs@&Pd zUR~bK#o50q?_QU;b8+^s%AH;8)#dG6oc*iv?sa)P7ia&foO@l~&c)fkD(7C8w{vm! zugaZW?A7J%T%7%@^6qtcI~QmFs@&PdUR~bK#o50q?_QU;b8+^s%AH;8)#dG6oc*iv zXBT^Qc{>+p|Ej!uUEa>c*}p31UYECXarUpuon7qJ+p|Em1i#a>+p|EipOUEa>c*}p1xcClBNw{vm!ugbgE|mF3 z_j6v)Kl%HA_u1|2Uc7Sdb-mxt#o50q=U$h$b8+^s%DLC&?OdGwt8(sjdHdJj|EKT$ ztNsK3+`WI*>;B2l&(6i!zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@mVi+8uP zd-2M-*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8|MLCq>|VTb?sfUE-rUaa#VhAt zm$!3q_OHsh*X6%{dpo-qubg{b-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&f zoO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmF zs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm! zugbaC+p z|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q z_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECX zarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P z7ia&foO@l~&c)fkD(7C8w{vm!ugbaC<-h&>c6KjbIrqA}or|-7RnEOGZ|CCdUzKyO z%iFm)`&Z@M>+&DIxt-mMSI)gI|J`S|vwQK%x!2|GT%7%@a_)6`I~QmFs+@aW-p<9@ zzbfZmm$!fY`tQH@ucv?e-oJi*{rE+J74sYk;>|akWckXp~I~QmFdV0BYufyB9IQ!Sr z%bj~2{>%5bvwQKUmpk`5{8w*oXZPYyFL&;Bcsmzo|9X14bFagH{q}ZtFaGp$=U#`m zb8+^sr*?jry$)~Z;_P2fFL&;Bcsmzo|9X14bFahO zxj6gR)61QE9p28x*}tA%?%eC}b}r8T_4IP*UWd1HarUpLmpk`5yq$})e?7h2x!2+C zT%7&u>E+J74sYk;>|akWckXp~I~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0Sdm zF3$e-^m6B3hqrTa_OGXxJNG)gor|-7J-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sa%O z7ia%^dbxA2!`rzy``6RUoqHYL&c)fko?h+p6i&i?iEa_3%$w{vm!ucwzg_d2|t zi?e?{z1+Fi;q6?U{p;!F&b+qpRV*VD_LdmY}+ z#o51}UhdrM@OCcF{`K^7=U#`mb8+^sr*?jry$)~Z z;_P2fFL&;Bcsmzo|9X14bFahOxj6gR)61QE9p28x*}tA%?%eC}b}r8T_4IP*UWd1H zarUpLmpk`5yq$})e?7h2x!2+CT%7&u>E+J74sYk;>|akWckXp~I~QmFdV0BYufyB9 zIQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e-^m6B3hqrTa_OGXxJNG)gor|-7J-yty*WvA4 zoc-(R<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy``6RUoqHYr+s|)j_u@}4ckXp~ zI~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SckzPX**?jry$)~x`g{N2y?@oe?|0q%SH14@ z|Gzldo!!Uc7Sdb$L4%XaB04dtLtP zx3{x<@yfZ^+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZm zm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31 zUYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE z?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@ za_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fk zD(7C8w{vm!ugbaC+p|EipOUH;q8Z)f-7m2vwv02y)OUZ zo7>sFc;(#d^51=SJG&RJoO@l~&c)fkD(7C8w{vm!ugbaCOult^R z|9bj!_x|i6vZi?e?{y`24vKONrA#o51}UhdrM@OCcF{`K^7=U#`mb8+^sr+qpRV*VD_LdmY}+#o51}UhdrM@L#^ao!yH+ zz1+Fi;lFxwJG&QudbxA2!`rzy``6RUoqHYr>$kVFd-122JNG)gor|-7J-yty*WvA4 zoc-(R<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy``6RUoqHYL&c)fko?h+p6i z&i?iEa_3%$w{vm!ucwzg_d2|ti?e?{z1+Fi;q6?U{p;!F&b+qpRV*VD_LdmY}+#o51}UhdrM@OCcF{`K^7=U#`mb8+^sr*?jry$)~Z;_P2fFL&;Bcsmzo|9X14bFahOxj6gR)61QE9p28x z*}tA%?%eC}b}r8T_4IP*UWd1HarUpLmpk`5yq$})e?7h2x!2+CT%7&u>E+J74sYk; z>|akWckXp~I~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e-^m6B3hqrTa z_OGXxJNG)gor|-7J-yty*WvA4oc-(R<<7khZ|CCdUr#T0?sa%O7ia%^dbxA2!`rzy z``6RUoqHYL&c)fko?h+p6i&i?iEa_3%$w{vm!ucwzg_d2|ti?e?{z1+Fi;q6?U z{p;!F&b+qpRV*VD_LdmY}+#o51}UhdrM@OCcF z{`K^7=U#`mb8+^sr*?jry$)~Z;_P2fFL&;Bcsmzo z|9X14bFahOxj6gR)61QE9p28x*}tA%?%eC}-+q2OyBB|YxpS|>+qpRV*VD_LdmY}+ z#o51}UhdrM@OCcF{`K^7=U#{Z@XhV)Ui|6h&b|d30uglxHIQv)S-0SjoF3$c{IrqB!7w>Lo_u`dvuglxHIQv)S-0SjoF3$c{IrqA} zor|-7RnEOG|K-0SjRy}6y;i&xIQE^p`J>|d30ugib^_I7qJUOD%=yq$}) ze^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm) z`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2 zvwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxH zIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2D zi?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(W zxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA} zor|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2

vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*% zyq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO z%YXa%?d)E>a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X2Kab33~iubg{b{=3g^ zXZPZjbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^q((HGlKozv|!fllT5rulwA; zIQv)S>|b?xI~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X6%>cRRZmubg{b-p<9@zbfZm zm$!3q_OHsh*X8Y8oc*hE?sfSu-`~#e#VhAtm;dU`?d)E>a_)6`I~QmFs+@aW{_D55 zvwQK%x!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P z7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6` zI~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8 zw{vm!ugbaC+p|EipOUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZm zm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@l~&c)fkD(7C8w{vm!ugbaC+p|EipOUEa>c*}p31 zUYECXarUpux!2|GT%7%@a_)6`I~QmFs+@aW-p<9@zbfZmm$!3q_OHsh*X8Y8oc*hE z?sa)P7ia&foO@mV+s|)j_u`dvuglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOG|KXe4 z*}Zt>-0Sk+eRey$7q6UqUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6```6$7JMR6f z{+eHY?_c%0&;5(De^t)@RhPGOarUpux!2|GT%7%@a_)6`I~QmFs+@aW{)>0FvwQJp z2fMsG|9bw(&(F@q*}p31Uf28WT%7%@a_)6`I~QmFs@&PdUS0mn_qVfq@yff`<-dA! zJG&RJoO@l~&c)fkD(7C8|N8Ci>|XrY!7lI4zn*{c`?GU#_OHsh*Y$oo7ia&foO@l~ z&c)fkD(7C8w{vm!ugaZW?A7J%T%7%@^6qtcI~QmFs+@aW-p<9@zbfZmm$!3q_OHsh z*X8Y8oc*hE?sa)P7ia&f+}XumUEa>c*}p1(cClBNw{vm!ugbgE+p|EipOUEa>c*}p31UYECXarUpuon7qJc*}p39UYECXarUpuon7qJa_)7#-_FI^ zzbfZmm$!3q_OHsh*X8Y8oc*hE?sa+l*RTGI_x@G?t{=VkuX^1-`T5zoIQv)S-0Sjo zF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%YX6ic6KjbIrqA}or|-7RnEOGZ|CCdUzKyO z%iFm)`&Z@M>+)Z|zn$HSSI)gI|J9q@*}Zt>-0SjoF3$c{IrqB!*KcoU_u`dvuglxH zIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2D zi?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(W zxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA} zor|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2
vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*% zyq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO z%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30 zuglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7 z_qzPIpWn{z#VhAtm$!3q_OHsh*X8Y8oc*hE?sa)P7ia&foO@mV!#B6Hd-2M-*X6(a z>~?l9UOD%=yq$})e^t)CE^p`J>|d30uglxHIQv)S-0Sl8ufOxx-uqYm)xYH4zv^|L z`xj^bs@&PdUR~bK#o50q?_QU;b8+^s%DLC&?OdGwt8(sj`7hqx&hEvZ9qjV%{OkEA zKR-JcXaB04dtL9hb8+^s%DLC&?OdGwt8!--dv*CQ-`~#e#VhY#m;dU`?d)E>a_)6` zI~QmFs+@aW{_D55vwQJp2fMsG|9bw(@6XP~*}p31Uf28WT%7%@a_)6`I~QmFs+@aW z-p<9@zbbcju~(P3b8+^s%DdO)?OdGwt8(sjc{>+p|EipOUEa>c*}p31UYECXarUpu zx!2|GT%7%@a%UHNb$L4%XaB1F*~MO6-p<9@zbfxum$!3q_OHsh*X8Y8oc*hEXBT^Q zc{>+p|Ej!uUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs@&PdUR~bK#o50q ze|E7~m$!3q_OHsj*X8Y8oc*hEXBT^Qc{>+p|Ej!uUEa>c*}p1xcClBNw{vm!ugbgE z+p z|Ej!uUEa>c*}p1xcClBNw{vm!ugafY?A7J%T%7%@^6qtcI~QmFs+@aW-p<9@zbbcj zu~(P3b8+^s%DdO)?OdGwt8(sjc{>+p|EipOUEa>c*}p1xcClBNw{vm!ugafY?A7J% zT%7%@@@E%&b$L4%XaB0adtKhn#o50qcXqK?m$!3q_OHsj*X8Y8oc*hEXBT^Qc{>+p z|Ej!uUEa>c*}p31UYECXarUpux!2|GT%7%@a_)6`I~QmFs@&PdUR~bK#o50q?_QU; zb8+^s%DLC&?OdGwt8(sj`ENhJo!yH+JJ{vj`PcJL{{3d>;_P3QbFb_Db}r8TRXO*% zyq$})e^u`6Vy`a$;hWppz4)_(UC!Olc|HH+@BiIrx3hck%DLC|emfUu|EipOUEa>c z*}p31UYECXarUpux!2|GU%%>)-}_g6^Skf;t6uj{etvc?&i++7_qx2Di?e@K&b=;g z=i=;Nm2+*Ik&i++7_qx2D zi?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(W zxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA} zor|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2
vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*% zyq$})e^t)CE^p`J>|d30uglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO z%iFm)`&Z@M>+*Ik&i++7_qx2Di?e@K&b=;g=i=;Nm2vwv02y)JL(;_P3QbFa(Wxj6e*<=pG?b}r8TRXO*%yq$})e^t)CE^p`J>|d30 zuglxHIQv)S-0SjoF3$c{IrqA}or|-7RnEOGZ|CCdUzKyO%iFm)`&Z@M>+*Ik&i++7 z_qx2Di?e@K&b=;g=i=;Nm2vwv02y)OUl=eM(a z@yfZ^+*Ik&i++7_qx3O>sNl?y?;IZ>-YZkE9>{|{fo1IJ-wX$ zi$5LS&c)fko?h+p6i&i?iEa_3%$w{vm!ucwzg_d5I+?`~)J;!iJk?sa%O7ia%^ zdbxA2!`rzy``6RUoqHYL&c)fko?h+oN`zn$HSKfTE+J74sYk;>|akWckXp~ zI~QmFdV0BYufyB9IQ!Sr%bj~2-p<9@zn)(1-0SdmF3$e-^m6B3hqrTa_OGXxJNG)g zor|-7{r`O0Ev$BF+}>q}f|t+sDPN^fXraIh1=4ZIj;riAWXDyKQBNkBq~j`)l^usf zRw9ukW0;IVA}brN<68G`x#v`8@?Saj%D!*4f7$OIckk`+Iv1yZy?flfx5MjPoc{Ih zarfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1 z-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w z@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flf zx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t z4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887 zyw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+ zIv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^b zT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#G zar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!~g#M<8&|n?s50t4zF`@`q#V1-FrK{ z&c*3p?;dyW?eIDmr+>YB+`YHM|MBbNbT9tyarfR1|L2#->0bQZD{{I)Jf3=+M)h@4d zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq{7*kVPWR$1=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yZqPR9;bWpmUC~H|M|Pe>0Z3$+}q`KE>8byIrnz?Uw(L;?!{ZqyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*) zUgzTUuaCQmUC~H*SR?TtL5C= z<#jGj|7tn+c6ptP)4y8IyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4ZNBx)*Ob_jdU| zzdTO&;w|UiF0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x{`GhN)ziP)|Kb09`d54I zvwv~=SIg;N?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9|McVIbT8g=?(OnA7pH%< zoO`>x&c*3pE$7}YuXAzwSIfD#%YXgtak>|8Irnz?pTB#Y?!{ZqyCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<#jGj z|7tn+c6ptP)4y8Iy0d49-Y&0mar#%wxwp&fU;q6- ze)?DYcmLkgzuI%3{fpDTT2B9Jm)E&C{j25N+vRmGPXB5-_jY-mi_^ba&b?j!ryn1u zd-1n}9q-P6o`3W6)44ePtL5C=^?sd;)4y8Iy|8dG~ht zpTB#Y?!{Zqy8byx!c7)yS&cD>0d4H-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZ zwVZpqyw1hxUoGd}F0XTO`d7={F80~wbuLc-YWds6KD)fm#pz!y@7^x2b8-4t%elA9 z>s*}v)pECseRg@Bi_^ba-o0I3=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8o zcCpVcuXAzwSIgfn_SxliE>8bydG~gCor}}ITJCnS&n~ZXar#%wySK~hT%7*Za<_|p zc6ptP)4y8YyXzgo_{ zU0&zn^skn?UF@^V>s*}v)$;D`@;Vo%f3=)@yS&cD>0d49-Y&0mar#%w-7fao<#jGj z|7!W$#Xh^d&c*3pEq}Y%XP4KxIQ^^T-P`4LE>8byx!c7)yS&cD>0d4H-Y&0mar#%w z-7fao<#jGj|7v;nc6ptP)4y8Iys*}v)pG9b^1pxoINgiC9qf2_{`35sf4}Kmoc`5v?(KTN z&c*3pE$7}YuXAzwSIgZl_SxnC`1NtR7k@k0aqfQ3=lM5(|3AMxPWR$1=iaXO>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0y{pJ7j^sn~6`{z&pYR~0d49-Y&0m zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq{7*kVPWR$1=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yZqPR9;bWpmUC~H|M|Pe>0Z3$+}q`KE>8byIrnz?Uw(L;?!{ZqyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*) zUgzTUuaCQmUC~H*SR?TtL5C= z<#jGj|7tn+c6ptP)4y8IyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4ZNBx)*Ob_jdU| zzdTO&;w|UiF0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x{`KGfgQtJBfB9FR{?(rQ z>|dPz)pECseRg@Bi_^ba-o0I3=i>CQmUC~H*SR?TtL5C=<$wC|ak>|OJJ|8={O9>M zKR=y|)4y8Iy@$LU_Y<=orl zbuLc-YB~3I`Coo`obJWn4tBgd|9Sq+?@#CA^skn4Z`b>EE>8byIrnyXor}}ITF$*) zUgzTUua>)A?6b@3T%7*Z^6u^OIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v z?(OnA7pH%<-0fnYU0&zn^skn`UF@^V>s*}v)$;D`@;Vo%f3=)@yS&cD>0d2(yVz%! z*SR?TtL5F><#jGj|7tn+c6ptP)4y8IyBl z?P8x@UgzTUuaXzgo_{U0&zn^skn?UF@^V>s*}v)$;D`@;Vo%f3@80VxL`J=i>CQ zmUnNL*SR?TtL1JN`|R>M7pH%<{Ow|&U0&zn^skn8Z8byx!c7) zyS&cD>0d4H-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwcPDupIu((;`FbUzg_IJ%j;a6 z{?+ogi+y%^or}}ITHd`~UgzTUua>)A?6b@3T%7*Z^6u^OIv1yZwcPDupIu((;`FbU zcW;;1xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{U0&zn^skn?UF@^V>s*}v)$;D`@;Vo% zf3=)@yS&cD>0d49-Y)<9_m9)P_}jsbcjrIPzxnr@&c*3pE$7~@_v>7o{?&5s?eaPo zr+>BF?P8x@{*PZDr+e|YgB|DY=X{=j^Y{Pr%j0w}-g55kdcV%a>0d49-Y&0mar#%w zxwp&fT%7*Za_;T&`qzK`FP{F@{x|>R>0j-+fAjOxxj6l+<=orlbuLc-YB~3Id7X>X zzgo_{U0&zn^skn4Zs*}v)pG9b@;Vo% zf3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO z`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPo zr+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0m zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA z7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_ zb8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T& zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y zuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq{O{jCPWR$1 z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yZj%&K2G=IE$7}Y|L2#->0Z3$+}q`K zE>8byIrnyXor}}ITF$*)UgzTUua)n6(^sm3u{^jmpoc{Iharzg3 zcX*wP)4$$5?%vzsbuLc-diS_{Z->{pIQ{G08b?_qcm+hu66{{p;Q1?!6uU>u-x_u}s!ckk`+Iv1yZ zy?flfx5NMP!{c->{_b)2-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm} z{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw z*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p z?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{ zd)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{Ih zarfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1 z-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w z@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flf zx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t z4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887 zyw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+ zzkmNY-HX3_+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq^{Q5ZEi@$r^ zy|=^v`Q>rC7k~G-dvAx=xj6mn-Q(`P9bV_+^sjf1yZ3f@or}}I-aYQ#+u`-E|MH(b z{j2@2{?XIF+H;@(|HbKFEvI|6%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV;=(~pnS zy?D#Hx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y|Mj=W>0Z3$+}q`U{_b(Q7jHTD zc6ptP)4y8IyCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<#jGj z|7tn+c6ptP)4y8IyXzgo_{U0&zn z^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+cKP4Gf1K{cTh6^*UgzTUuas*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0y{q4Va`q#Vv_tU@rcKa8*e{uTPyT|EY{N3SoE>8b?_qcm+hu66{{p;Q1 z?!6se=i>CQcaOXGcKDxue4OsZ-#zZ$+u?OCPXBuMxO;Dh*SR?T>)qq-y&YcX;`Fa~ zkGuDF_^-b`PWR&P9(V8U@IQa|INgiCd)&RZ!|Pm}{`Ky0_udZw%MXv!z4*Jw-FrK{ z&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq9 z7pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjP zoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@ z`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hx zU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZ zy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*( z?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0 z$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2 zckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$ zy|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^ z-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!~g#M<8&|n?s50t4zF`@`q#V1 z-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM|MBbNbT9tyarfR1|L2#->0bQZtL1dB zc6ptP)4y8IyCQ zmUC~H*SR?TtL5C=<-h*+INgi4oO`?c&)+>x_u?(*-Y&0mar#%wxwp&z^26hFFWz$Q z?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49 z-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v z?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3p zE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y)<9_m9)Pc+0uB%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV;=$FGmmy?D#H zx6A+e<#DXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<#jGj z|7tn+c6ptP)4y8IyXzgo_{U0&zn z^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*) zUgzTUuaXzgo_{U0&zn^skn4ZAad%L{; z^`HGOPycHF=HGhyS9|WWe{uR(%jsY3@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&z z^yA}nFaCD07o z{?&5s?eaPor+>Aad%L{O#pz!yce~hUm)E&C{j25O+vRmGPXB5-_jY-mi_^ba&b?h; z=i>CQmUC~H*SR?TtL5C=<#jGj|7yA0#Xh^d&c*3pEq}Y%XP4KxIQ^^T-P`4LE>8by zIrnyXor}}ITJCnS&n~ZXar#%wySK~hT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO z`d7={F80~wbuLc-YWds6KD)fm#pz!y@7^x2b8-4t%iS*a+2wUEPXB6o_jY-mi_^ba z?sl=yF0XTO`d7=lx6A8Xoc`5v?(OnA7pH%x&c*3pEqA-vXP4KxIQ^^T-P`4L zE>8byx!c7)yS&cD>0d4H-Y&0mar#%w-7fao<#jGj|7!W$#Xh^d&c*3pE$`kguXAzw zSIfD#%j;a6{?&4~i+y%^or}}ITHd`~UgzTUuaV+ zyw1hxUoC&T*k_m5xj6l+A)d%L{O#pz!yce~hUm)E&C{j25O+vRmG zPXB7T+r>V+yw1hxUoG$6F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pEqA-v zXP4KxIQ^^T-P`4LE>8byIrnyXor}}ITF$*){`c=6r+e|YgB|bAf1ZEy?>C)`)4y8I zy0Z3$+}rhj zor}}ITF$*)UgzTUua?|F@@qwdelL&rj##^skn4 zZ8byIrnyXor}}ITF$*){-+-wr+e|1b8nZ|xj6l+<=orlbuLc- zYB~3Id7X>Xzgo_{UHx&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3p zE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OowfB!h$i?^J6yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&fBgD5-HW%J zd%OIfUmmA>@s@LMm)E&C{j25N+vRmGPXB5-_jY-mi_^ba&b?h;|N2k<=cj+Qf8$?! z`d54Ivwv~=SIgZl_SxliE>8bydG~gCor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL1JN`|R>xe|wzn#arIJUH<3q9;bWp zmUC~H*SR?TtL5C=<$w9%ak>|OJJ|8={O9>MzdxOe)4y8IyXzgq5gvCl5Ab8-4t%e%MB>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0m zar#%wxwp&fT%7*Za<_|pc6ptP)4y8&cCpVcuXAzwSIfJ%%j;a6{?&5s?eaPor+>BF z?P8x@UgzTUuaCQmb+c-v&-vT zoc`7Fw~Kvtd7X>XzgphCU0&zn^skn?UF@^V>s*}v)$;D`@;Vo%f3@80VxL`J=i>CQ zmUnNL*SR?TtL5C=<#jGj|7tn+c6ptP)4y8ocCpVcuXAzwSIfJ%%j;a6{?&4~i+y%^ zor}}ITHd`~UgzTUua>)A?6b@3T%7*Z^0$k9c6ptP)4y8YyA)d%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pECseRg@Bi_^ba{&um? zF0XTO`d7=}F80~wbuLc-YI*l|d7X>Xzgq5gvCl5Ab8-4t%e%MB>s*}v)pECseRg@B zi_^ba-o0I3=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8ocCpVcuXAzwSIfJ% z%j;a6{?&5s?eaPor+>Aad%OJa-#-{Aad%L{O z#pz!y=iV-_b8-4t%elA9>tFxz|Niu^_OJi1PycGq{hObk&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%OHkKR!CQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<$wSF zak>|8IrnyXor}}ITF$*)UgzTUuaMlvZ#nmN`9HrrPWR$1 z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS)DOAN}>Gf4%$vKK<)IYXAD~U!4B+ z?s57Te|LDDi_^c}J?`Gy;dL%f|9bbhdvAx=xj6mn-Q(`P9sZ{uAE$fqcaOXGc6gnO z)4$$5?%vzsbuLc-diS_{Z->{pIQ{G00j?2ckk`+Iv1yZy?flf zx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t z4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887 zyw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+ zIv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^b zT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#G zar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t z>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W# zf4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v z_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6D zyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~ z9(V8U@V|fmINgiCd)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+fBgD5 z-HX3_+`YHM|M}%{x)*=)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM2z zum9kmKK-lx5C7rQzuI%3|Nq75UoEG5wae>Voc`5v?(OnA7pH%x&c*3pE$7}Y z|I?3;)4h1hxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F8}qn$LU_Y<=orlfBx=q zx)*Ob_jY-mi_^ba&b?j!mmeOdd-0ZYZ8byIrnyXor}}ITF$*) zUgzTUuaCQmUC~H*SR?TtL5C= z<#jGj|7tn+c6ptP)4y8IyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUua8byIrnz?KYo3j?!{ZqyAa zd%L{O#pz!y=iV-_fBm(8_4Kdy-~T_K{?(rQ>|dPz)pGh*yS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za_;T&KmGVP-HW%Jd%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@?U>@ zobJV2&b?j!=kFe;d-0ZYZXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<#jGj z|7tn+c6ptP)4y8IyXzgo_{U0&zn z^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z{k^AuwdX$j7pH%Aad%OHkKR!0d2(yVz%!|N7hGbT8iW?(OnFfA=`ui?^J6yS&cD>0d49-Y);k50BHm z_}jsbcjrIPzxn;?T%7*Za_;SVzs|+!UoGd}F0XTO`d7=jx6A8Xoc`5vw~Kvtd7X>X zzgphCU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUua>)A?6b@3 zT%7*Z^0$k9c6ptP)4y8YyA)d%L{O#pz!y z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3@80VxL`J=i>CQmcL!>v&-vToc`7F?(OnA z7pH%<-0fnYU0&zn^skn8Z`OyS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za<_|pc6ptP)4y8YyBF?P8x@ zUgzTUua>`E?6b@3T%7*Z^6u^OIv1yZwVZpqyw1hxUoCgL*k_m5xj6l+<=xxmbuLc- zYB~3Id7X>Xzgo_{U0&zn^skn?UF@^V>s*}v)$+HCeRg@Bi_^ba{&um?F0XTO`d7=l zx6A8Xoc`5vw~Kvtd7X>XzgphCU0&zn^skn?UF@^V>s*}v)$;D`@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za<_|pc6ptP)4y8YyCQmb+c-v&;YS>*I7U z{&ukA-2I%-^KbtCe|~wK?!{ZqyXzgo_{U0(nC zEC0{azuLe0&!7I)p8GdHKb?!yzgo_{U0&zn^skn4Z8byIrnz? zpMHFt?!{Zqy0d49-Y&0mar#%wxwp&fT%7*Za_;T& zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y zuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd} zF0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s z?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49 z-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v z?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A+j{o`~m-g55k@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&z@$2JsFWz$Q?ec$qd7SRWTh6^*UgzTUua)-naPycHF%3po@S9|WWe{uR(%iS*a+2wUEPXB6o_jY-mi_^ba z&b?h;=i>CQmUC~H|LMoa>0bQpV8^@jpXcBF{B$l(|7tn+cD-Nc;`FbUb8nZ|xj6l+ z8byIrnyXor}}ITJCnS&n~ZXar#%wySK~hT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5vw~Kvtd7X>XzgqrwvCl5A zb8-4t%e%MB>s*}v)pG9b@;Vo%f3@80VxL`J=i>CQmUnNL*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8Iy)A?6b@3 zT%7*Z^6u^OIv1yZwcPDupIu((;`FbUcW;;1xj6l+<=orlbuLc-YB~3Id7X>Xzgq5g zvCl5Ab8-4t%e%MB>s*}v)pECseRg@Bi_^ba-o0I3=i>CQmb+c-v&-vToc`7Fw~Kvt zd7X>XzgphCU0&zn^skn4Z`OyS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za<_|pc6ptP)4y8&cCpVcuXAzwSIgfn_SxliE>8bydG~gCor}}ITJCnS z&n~ZXar#%wySK~hT%7*Za<_|pc6ptP)4y8YyXzgq5gvCl5Ab8-4t%e%MB>s*}v)pG9b@;Vo%f3=)@yZrCpKTh}JZwEWx zo&P-l=HG8R7pH%0d49-Y&0mar#%wxwp&fU;oa(c=}iS@BWjg zf3@fS&CgHg;`FbUb8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH+#ZAE$fqmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8Iys*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0m zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA z7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_ zb8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T& zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y zuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd} zF0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s z?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49 z-Y&0mar#%wxwp&fT%7*Za_;T&zkmNY-HW%Jd%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@_+pLINgi4oO`?cpI;uQd-0ZYZ8byIrnyXor}}ITF$*) zUjO>H|MKZy@BYiDfBoC-U+(_J>0j?2r+@Kxhu66{{p;Q1?!6se=i>CQcaOXGc6gnO z)4$$5?%vzsfBNxpx)*=)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM27 z{`NTCi@$r^y|=^v{N3YpFaGXv_udY#b8-6DyT{#oJNz#{JWluG?;dyW?eIDmr+>YB z+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0 z_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8v zdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW z?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ z!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1 zuXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{ z&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq9 z7pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjP zoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@ z`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hx zU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udZw`}dF2z4*Jw-FrK{&c*3p?;dyW?eIDm zr+>YB+`YHM>s*}v_3m-^-VXoAuaDEc_`Ap5dprD}UmmA>@pq5A_jY)li_^c}J?`Gy z;dL%f|9bbhdvAx=xj6mn-Q(`P9bW(XH~-nwzuJH6A3go6J@@(lU!4Bca=KT$yw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnF{rEWDi?^J6yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&Uw?a??!{ZqybbZ#nmNd7X>X zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP z)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*)UgzTUuaCQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaXzgo_{U0&zn^skn4Zx&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?ehB9U;M?>zux`7pZ@h1?O*Kv z#pz$~9;bitcZb)xIQ{G0|O_qcm+ zhu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzszy9_(-HX3_+`YHM|NPzKbT9ty zarfR1uXAzw*Sp8vdprCuKRizN;_n`J@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6D zyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~ z9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB z+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0 z_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8v zdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW z?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ z!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1 zuXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{ z&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq9 z7pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjP zoc{IharfR1|NHlk)4lk+$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udZw z$FGmmz4*Jw-FrLypI;uQd+~RVyZ3f@or}}I-aYQ#+u?OCPXBuMxO;Dh*SR?T>)qq- zy&Ycv`q#fc{j2>q{{GXy+H;@(|HbKFEvI|6%j;a6{?&5s?eaPor+>Aad%L{O#pz!y z=iV;=(~pnSy?D#Hx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y|Mj=W>0Z3$+}q`U z{_b(Q7jHTDc6ptP)4y8IyCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7tn+c6ptP)4y8Iydtd>Pg;}>#Ur; zt8?cpuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(Oow|L{27i?^J6yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&e|&wM?!{Zq zy|dPz)pGh*yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&KmYVN-HW%Jd%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@?U;?obJV2&b?j!m+v2^d-0ZYZX zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP z)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*)UgzTUuaCQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Zx z&c*3pE$7}YuXAzwSIfD#%j;a6{?&4~i+y%^or}}ITK;yi&n~ZXar#%wySK~hT%7*Z za_;T&Iv1yZwcPDupIu((;`FbUcW;;1xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{U0&zn z^skn?UF@^V>s*}v)$+HCeRg@Bi_^ba-o0I3=i>CQmb+c-v&-vToc`7F?(OnA7pH%< z-0fnYU0&zn^skn8Z8byIrnyXor}}ITJCnS&n~ZXar#%wySK~h zT%7*Za<_|pc6ptP)4y8YyXzgqrwvCl5Ab8-4t%ik{c+2wUEPXB6o_jY-mi_^ba?sl=yF0XTO`d7=lx6A8X zoc`5vw~Kvtd7X>XzgphCU0&zn^skn4Z8byIrnyXor}}ITJCnS z&n~ZXar#%wySK~hT%7*Za_;T&Iv1yZwVZpq{O>r+>Aa zd%NDRb8-4t%elA9>s*}v)pECseRlajzCKR(;%^5#&fU-XJpbnJ|L1p))4h1hxwq^6 zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8af9YR6{j2>C{^`@d+H?Qr=cjXV`d7=j zx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y|MO3e)4h1hxwp&fT%7*Za_;T&Iv1yZ zwVZpqyw1hxUoGd}F8}4X$LU_Y<=orlfBF7#x)*Ob_jY-mi_^ba&b?j!*B>9Jd-0ZY zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<#jGj z|7tn+c6ptP)4y8IyXzgo_{U0&zn z^skn4Z8byIrnyXor}}ITF$*)UgzTUua8byIrnz?KfXRr_u?(* z-Y);=caPJ(c+0uB%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_fBnV3`Sh>$Fa5=* zf3@d6`xmEwwcPDupIu((;`FbUcW;;1xj6l+<=orlbuLc-YB~3I`JaD!obJWn4tBgd z|9Sq+&rj##^skn4Z`b>EE>8byIrnyXor}}ITJCnS&o2Mvx5w#Tyye~7<$wA9ak>|8 zIrnyXor}}ITF$*){?{KLr+e|YgB|bAf1ZEy`_s8N{j25N+x32(i_^ba&b?h;=i>CQ zmUC~H*SR?TtL1JN`|R>M7pH%Aad%L{O#pz!yce~hUm)E&C{j23~7yIn;Iv1yZwY+<~yw1hxUoGd}F0XTO`d7={ zF80~wbuLc-YI*l|d7X>Xzgo_{U0&zn^skn4Z8byx!c7)yS&cD z>0d2>yVz%!*SR?TtL5F><#jGj|7yA0#Xh^d&c*3pE$`kguXAzwSIgZl_SxliE>8by zdG~gCor}}ITF$*)UgzTUuax&c*3pEqA-vXP4KxIQ^^TZx{RQ z@;Vo%f3^JWVxL`J=i>CQmUnNL*SR?TtL1JN`|R>M7pH%8byIrnyXor}}ITF$*)UgzTUua{&ukA-TBY+Z~pzJb8-4t%elAf{W=$?f3=)@ zyS&cD>0d2(yVz%!|Ksc9bT9sPu;bkQoX_)b{{DY{_c+~)x14*s-mi0U`d7=jx6A8X zoc`5v?(OnA7pH%x{`K$ui>H6J|NejR^sn~Zzxny;T%7*Za_;T&Iv1yZwVZpq zyw1hxUoGd}F0XTO`d7=jx6A+h)8lk6-g55k@;Vo%f3=)@yS&cD>0d49-Y&0mar#%w zxwp%I`R#GK7jHTDcKKhvf1K{cTh6^*UgzTUuaXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*){`Vgq zr+e|1b8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH*@+kJG()%elA9|M}hHbT8g= z?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;i%;jcaY>)n6z^sm3r{YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887{Leo8b?_qcm+ zhu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1I zE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{p zIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&W zzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc- zdiS_{Z->{pIQ{G0)qq-y&YcX;`Fa~ zkGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5 z?%vzsfB)fex)*=)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM2-`1&~A zi@$r^y|=^v`Q77mFaGXv_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjS z|IR;p`d9n!{_UrKwdX$n|BKVVT2A+Bm)E&C{j25N+vRmGPXB5-_jY-mi_^ba&b?j! z=bs*@d-0ZYZ8byIrnyXor}}ITF$*){>yKV)4h1hxwp&z^8Mp< zFWz$Q?eaPor+>Aad%OIvKR!x z&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq zyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@ zyS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=j zx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aa zd%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%< zoO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?ef3>@HpLzx14*syw1hxUoGd}F0XTO z`d7=jx6A8Xoc`5v?(On_e0`km#aqt3UH;GS9;bWpmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyOzux8C+vQK^y6*I^cRBZV`JaD!-05D|Th6^*{&cSEPXBtBb8nYFo$I>O zzux8C+vQK^y6*I^cRBZV`7ggc?sTu~E$7}Y|I7D}JKgJg%elA9pU!pN>0j@1?(Oow z{`k1ly{@;Md%OJUT-Tlc^)Bb$E`K`Lb*F#5%elA9pU!pN>0j@1?(OoYb6t1(*Snm1 zyZq@~*PZ_LF6Z7Ze>&H7r+>Z6xwp%o&UM}CU+;46?eeE{U3dD|yPSKw{OMfRo&NPM z=iV-VI@filf4$4Ox67Z-b=~P-?{e<#@~3lMcly`6oO`?c>0H;H{`D^B-Y$PS*LA0V zz00|`%b(75-RWQNa_;T&r*mC*`q#Uhd%OJUT-Tlc^)Bb$E`K`Lb*F#5%elA9pU!pN z>0j@1?(OoYb6t1(*Snm1yZq@~*PZ_LF6Z7Ze>&H7r+>Z6xwp%o&UM}CU+;46?eeE{ zU3dD|yPSKw{OMfRo&NPM=iV-VI@filf4$4Ox67Z-b=~P-?{e<#@~3lMcly`6oO`?c z>0H;H{`D^B-Y$PS*LA0Vz00|`%b(75-RWQNa_;T&r*mC*`q#Uhd%OJUT-Tlc^)Bb$ zE`K`Lb*F#5%elA9pU!pN>0j@1?(OoYb6t1(*Snm1yZq@~*PZ_LF6Z7Ze>&H7r+>Z6 zxwp%o&UM}CU+;46?eeE{U3dD|yPSKw{OMfRo&NPM=iV-VI@filf4$4Ox67Z-b=~P- z?{e<#@~3lMcly`6oO`?c>0H;H{`D^B-Y$PS*LA0Vz00|`%b(75-RWQNa_;T&r*mC* z`q#Uhd%OJUT-Tlc^)Bb$E`K`Lb*F#5%elA9pU!pN>0j@1?(OoYb6t1(*Snm1yZq@~ z*PZ_LF6Z7Ze>&H7r+>Z6xwp&z{=?%=_qyJ4?(OoYb6t1(*Snm1yZq@~*PZ_LF6Z7Z ze>&H7r+>Z6xwp&z@%3@1dtGli_jdU|zkA&2Ue{aBy!1DWr+>Bo+dqH$S9|WWe{uR(%jsV2@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&z{L|xfFWz$Q?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9 zfBEfkx)*Ob_jdVTzJHwV#aqt3U0&zn^skn4ZXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*)UgzTUuaCQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{UH8byIrnzGU+3cVuaV+ zyw1hxUoG$6F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIgZl z_SxliE>8by`P;=lyS&cD>0d4H-Y&0mar#%wxwp&fT%7*Za<_|pc6ptP)4y8YyXzgq5gvCl5Ab8-4t%ik{c+2wUEPXB6o z_jY-mi_^ba?sl=yF0XTO`d7=lx6A8Xoc`5vw~Kvtd7X>XzgphCU0&zn^skn4Z8byx!c7)yS&cD>0d4H-Y&0mar#%w-7fao<#jGj|7v;nc6ptP)4y8o zcCpVcuXAzwSIgfn_SxliE>8bydG~gCor}}ITF$*)UgzTUua>)A?6b@3T%7*Z^6u^O zIv1yZwVZpqyw1hxUoGd}F0XTO`d7={F80~wbuLc-YWds6KD)fm#pz!yf4kUcm)E&C z{j25O+vRmGPXB7T+r>V+yw1hxUoG$6F0XTO`d7={F80~wbuLc-YI*l|d7X>Xzgo_{ zU0&zn^skn4Z8byx!c7)yS&cD>0d4H-Y&0mar#%wxwp&fT%7*Z za_;T&zyI(!-HX2+?09$n^Zc8Czv*0@{?&5s?Rvk?#pz!y=iV-_b8-4t%iS*a+2#NE z`Z(Q-za8v2cR%Oz{F}f3pWi)B_u?(*-mdrST%7*Za_;T&Iv1yZwVZpqyw1hxUoGd} zF0X(6FaOumzuJHL_n-dNp8GdHKb?!yzgo_{U0&zn^skn4Z8by zIrnz?pMQFs?!{Zqy0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3p zE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A+j!{c->-g55k@;Vo%f3=)@ zyS&cD>0d49-Y&0mar#%wxwp&z@%3@K7jHTDcKJWQdz|jYTh6^*UgzTUua!185Pyc%N|9$$`KWYDy-M={f>)qq@FaGZEIv1yZy?flf zx5MjPoc{IharfR1uXAzw*Sp8vdprEkKRr(O;_n`J@9pq97pH%{d)&RZ!|Pm}{`Ky0 z_udY#b8-6DyT{#oJN%d59;bWpcaOXGcKBbuf1K{c-#zZ$+u?OCPXBuMxO;Dh|Mkbm z>0bQZ)qq-y&YcX;`Fa~kGuDFc%6&W zzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc- zdiS_{Z->{pIQ{G0)qq-y&YcX;`Fa~ zkGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5 z?%vzsbuLc-diS_{Z->{pIQ{G0)qq- zy&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXG zc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0bbfA_e1 zZ->{pIQ{G0YB+`YHM>tFxV|M~Q<_J97*p8nOI z`~3eePXB5--K$+*=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+cKM%wdYtaXTh6^*UgzTU zuas*}v)pG9b z^1uH0INgi4oO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y z=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3p zE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%elA9|Ng_{bT8g=?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%m4B9ak>|8Irnz?KfimN?!{Zqy{p%mMe`WVCPXBuMIQ@&iJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{Ih zarfR1|MO3e)4lk+$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udZw<+sP_ zUi{tT?!6uUm+v2^d+~RVyZ3f@or}}I-aYQ#+u?ux@o~BrfA_e1Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1I zE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{p zIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&W zzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc- zdiS_{Z->{pIQ{G0)qq-y&YcX;`Fa~ zkGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5 z?%vzsbuLc-diS_{Z->{pIQ{G0{pIQ{G0 z0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Uw(U>?!{ZqyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7tn+c6ptP)4y8IyX zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaXzgo_{U0&zn^skn4Z*I7U-g55k@_&B!INgi4 zoO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?ehB9Km4~(|7!oyzkK>vd+xJ;ar#%w z>0j;gIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A+h)8lk6-g55k@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp%I`R#GK7jHTDcKKhvf1K{cTh6^*UgzTUuaXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7tn+c6ptP)4y8IyX zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP z)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*){`Vgqr+e|1b8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH*@+kJG() z%elA9|M}hHbT8g=?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;kN{oi@|SNjkD z`qRJKbD#Z-)4y6y|7w@lxj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH<2v9;bWpw}Tz; z&VQbN^YhcWIQ^^T+}rhjor}}ITF$*)UgzTUua>)A?6b>%`R#GK7jJp@cKKhvf1K{c zTh6^*UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7yA0#Xh^d&c*3pE$`kguXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%iS*a+2wUEPXB88+r>V+yw1hxUoG$6F0XTO`d7=jx6A8Xoc`5v zw~Kvtd7X>XzgphCU0&zn^skn4Z8byIrnyXor}}ITJCnS&n~ZX zar#%w-!Asq<#jGj|7v;nc6ptP)4y8ocCpVcuXAzwSIfJ%%j;a6{?&4~i+y%^or}}I zTHd`~UgzTUuaV+yw1hxUoG$6F0XTO`d7={F80~w zbuLc-YI*l|d7X>Xzgq5gvCl5Ab8-4t%ik{c+2wUEPXB6o_jY-mi_^ba&b?h;=i>CQ zmb+c-v&-vToc`7F?(OnA7pH%x&c*3pE$7}YuXAzwSIgZl_SxliE>8by`P;=l zyS&cD>0d2>yVz%!*SR?TtL5F><#jGj|7yA0#Xh^d&c*3pE$`kguXAzwSIgZl_Sxli zE>8bydG~gCor}}ITF$*)UgzTUuaV+yw1hxUoG$6 zF0XTO`d7=jx6A8Xoc`5v?(Oow|L{27i@zQ0cz6Eu{F{Hj>0F%t)pG9bdcV%a>0d49 z-Y&0mar#%w-7fao<^TBlINgiC9qc%FKj-uOo4@~`-#t$E;w|UiuJ`L)oc`5v?(OnA z7pH%x&c*3pE$7}YuYdi6fA#dQ_P_h5PycGq{hObk&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%OJ4KRr(O;w|UiF0XTO`d7=jx6A8Xoc`5v?(OnA7pH%< zoO`?cm){CQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<$wR- zak>|8IrnyXor}}ITF$*)UgzTUuas*}v)pG9b@;Vo%f3=)@yS)DO-~P>~f3^SMFFyUNJ@?taIQ^^T zZWsIP@;Vo%f3>`OyS&cD>0d49-Y&0mar#%wxwp&z{L|xfFaCD07o{?&5s?eaPor+>Aad%L{O#pz!y zce~hUm)E&C{j25O+vRmGPXB5-_jY-mi_^ba&b?h;=i>CQmUC~H*SR?TtL5C=<#jGj z|7yA0#Xh^d&c*3pEq}Y%XP4KxIQ^^T-P`4LE>8byIrnyXor}}ITJCnS&n~ZXar#%w zySK~hT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7={F80~wbuLc-YWds6KD)fm z#pz!y@7^x2b8-4t%iS*a+2wUEPXB6o_jY-mi_^ba?sl=yF0XTO`d7=lx6A8Xoc`5v z?(OnA7pH%x&c*3pEqA-vXP4KxIQ^^T-P`4LE>8byx!c7)yS&cD>0d4H-Y&0m zar#%w-7fao<#jGj|7!W$#Xh^d&c*3pE$`kguXAzwSIfD#%j;a6{?&4~i+y%^or}}I zTHd`~UgzTUuaV+yw1hxUoC&T*k_m5xj6l+A)d%L{O#pz!yce~hUm)E&C{j25O+vRmGPXB7T+r>V+yw1hxUoG$6F0XTO z`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pEqA-vXP4KxIQ^^T-P`4LE>8byIrnyX zor}}ITF$*){`Vgqr+e|YgB|bAf1ZEy?>C)`)4y8Iy0Z3$+}rhjor}}ITF$*)UgzTUuaZemWPYf3=)@yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&KmYVN-HW%Jd%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@?U;?obJV2 z&b?j!m+v2^d-0ZYZXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*)UgzTUuaCQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z6@3((#_b*QWdiOZ}i@!U(&c*3p z?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VXosPmj~R_`Ap5dpo?&#pz$~9(V8U@H!W# zf4zI$y|=^bT%7*(?s50t4*%u1$LU`D-Q(`P9sZZ^AE$fqcaOXGc6gnO)4$$5?%vzs zfBo@sx)*=)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+ zhu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1I zE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{p zIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&W zzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc- zdiS_{Z->{pIQ{G0)qq-y&YcX;`Fa~ zkGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGcKF|ac%1IV z-#zZ$+u?OCPXBuMxO;Dh*SR?T>)qq-y&YcX;`Fa~kGuDF_&>frPWR&P9(V8U@PB^y zINgiCd)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+`qzK;kDmV3{?~u| z>0j-+&;S48^skoFz1rn8byIrnyXor}}ITF$*)UgzTUuav$LU_Y<=orl zbuLc-YB~3Id7X>Xzgo_{U0&zn^skn4Zx&c*3p zE$7}Y|Lc#B)4h1hxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x z&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq zyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@ zyS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=j zx6A8Xoc`5v?(OnA7pH%{_F_u?(*-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZ zwVZpq{2yN*r+e|1b8nab^Sj6CUcBYp+vRmGPXB5-_jY-mi_^ba&b?h;=i>CQmUC~H z*T4SW|M&E-_SgUW>0j-+&;G^fUoEG9wae>Voc`5v?(OnA7pH%x&c*3pE$7}Y z|MO3e)4h1hxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F8}4X$LU_Y<=orlfBF7# zx)*Ob_jY-mi_^ba&b?j!*B>9Jd-0ZYZ8byIrnyXor}}ITF$*) zUgzTUuaCQmUC~H*SR?TtL5C= z<#jGj|7tn+c6ptP)4y8IyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUua8byIrnz?KfXRr_u?(*-Y);=caPJ(c+0uB%j;a6{?&5s?eaPor+>Aa zd%L{O#pz!y=iV-_fBl#L`P0AJzxOwu{?(rQ>|dPz)pGh*yS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za_;T&KmYVN-HX2+?09$n^Zc8ipU%bUUoGd}uJ`L)oc`5v?(OnA7pH%< z-0fnYUH;2&kJG()%e%MB|MLCgbT8g=?(OnA7pH%-{Aad%L{O#pz!y=iV-_b8-4t%iS*a+2wUEPXB6o_jY-mi_^ba z&b?h;=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8ocCpVcuXAzwSIgfn_Sxli zE>8bydG~gCor}}ITF$*)UgzTUua>)A?6b@3T%7*Z^6u^OIv1yZwVZpqyw1hxUoGd} zF0XTO`d7=jx6A8Xoc`5vw~Kvtd7X>XzgqrwvCl5Ab8-4t%e%MB>s*}v)pECseRg@B zi_^ba-o0I3=i>CQmb+c-v&-vToc`7F?(OnA7pH%x&c*3pE$7}YuXAzwSIgZl z_SxliE>8bydG~gCor}}ITJCnS&n~ZXar#%wySK~hT%7*Za<_|pc6ptP)4y8&cCpVc zuXAzwSIfJ%%j;a6{?&5s?eaPor+>BF?P8x@UgzTUuax&c*3p zE$7}YuXAzwSIgZl_SxliE>8bydG~gCor}}ITF$*)UgzTUuaXzgq5gvCl65$JfW{Ui|G~$GQ7CpXcBF z{r~*#ak>|8IrnzGU+3cVua+k;Sr+>A-{PU-O zwdelL&rj##^skn4Z8byIrnyXor}}ITF$*){^y?_r+e|1b8nZ| zxj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH;2&kJG()%elA9|MLCgbT8g=?(OnA7pH%< zoO`?cuRlIc_u?(*-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO z`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPo zr+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0m zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA z7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_ zb8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T& zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y zuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd} zF0XTO`d7=jx6A8Xoc`5v?(Oow|L{27i?^J6yS&cD>0d49-Y&0mar#%wxwp&fT%7*Z za_;T&e|&wM?!{Zqyx&c*3pE$7}Y z|MO3e)4llH!H#$5KhMAU`RQDo{?&5s?Rvk?#pz!y=iV-_b8-4t%iS*a+2z0d_Bh>( zx4e71{4d`>PWR$1=iV-_b8-4t%elA9|N7(ObT9sPu;bnN&+~77e>xYZf3=)@yWX#J zar#%wxwp&fT%7*Za_;T&Iv1yZwcPDupIu((;`FbUcW;;1xj6l+<=orlbuLc-YB~3I zd7X>Xzgo_{U0&zn^skn4ZCQmUnNL*SR?T ztL5C=<#jGj|7yA0#Xh^d&c*3pE$`kguXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!yce~hUm)E&C{j23~7yIn;Iv1yZwY+<~yw1hxUoCgL*k_m5xj6l+<=xxmbuLc- zYPs9RKD)fm#pz!y@7^x2b8-4t%elA9>s*}v)pG9b@;Vo%f3@80VxL`J=i>CQmUnNL z*SR?TtL1JN`|R>M7pH%`OyS&cD z>0d49-Y&0mar#%w-7fao<#jGj|7v;nc6ptP)4y8Iys*}v)$+HCeRg@Bi_^ba z-o0I3=i>CQmb+c-v&-vToc`7F?(OnA7pH%<-0fnYU0&zn^skn8Z8byIrnyXor}}ITF$*)UgzTUua>)A?6b@3T%7*Z^6u^OIv1yZwVZpqyw1hxUoGd} zF8})vkJG*Q+rf@^=ReQC`Tb4j;`FbUb8pxCbuLc-YB~3Id7X>Xzgq5gvCl65$FGmm zz4+U~j&t{OKF`1T`~Ugf<8&|Ha_;SVzs|+!UoGd}F0XTO`d7=jx6A8Xoc`5v?(OpW z*Z=;np8nPTAOG~}U+uYn^YhcWIQ^^T+}q`KE>8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H|K-QW>0Z3$+}q`KE>8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zua8byIrnyXor}}ITF$*){*PZDr+e|1b8nab^Sj6CUcBYp+vRmGPXB5-_jY-mi_^ba z&b?h;=i>CQmUC~H*T4SBUwQi1yZ`R#U;m{2E4zPj`q#V1>0kWa;dL%f|9bbhdvAx= zxj6mn-Q(`P9bV_+^sjf1yZ3hZFF!p__u}s!ckk`+Iv1yZy?flfx5MjPoc{IharfR1 zuXAzw*Sp8vdprEs-yWxX@pq5A_jdT7zki(W#os;d-rM1IE>8b?_qcm+hyUfr$LU`D z-Q(`P9bV_+^sjf1yZ3f@or}}I-aYQ#+u?OCPXBuMxO;Dh*SR?T>)qq-y&YcX;`Fa~ zkGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5 z?%vzsbuLc-diS_{Z->{pIQ{G0)qq- zy&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXG zc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se z=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1S|KV}E7k~G-dvAx= zxj6mn-Q(`P9bV_+^sjf1yZ3f@or}}I-aYQ#+u{HC^>MlvfA_e1Z-@W$yT|EX{N3a3 zy&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu6RU*MI)>ul9fYyHEdW&wc*? z7pH%Aad%OIXpB|@s@s@LMm)E&C{j25N z+vRmGPXB5-_jY-mi_^ba&b?j!>u-Aad%L{O#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq zyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@ zyS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=j zx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aa zd%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%< zoO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t z%elA9>s*}v)pG9b^1uJ^INgi4oO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?ec&8 z`Z(Q-x14*s{GZ=FPWR$1=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS)DO)n6z^sg`NU)=qR)4$$5PXFTX4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM zfBETgx)*=)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM27{`NTCi@$r^ zy|=^v{Qcu}FaGXv_udY#b8-6DyT{#oJNz#{K2G=I?;dyW?eIDmr+>YB+`YHM>s*}v z_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6D zyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~ z9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB z+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0 z_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8v zdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW z?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ z!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1 zuXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{ z&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq9 z7pH%{d)&RZ!|Pm}{`Ky0_udZw`wx%Pz4*Jw-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM z>s*}v_3m-^-VXoAuaDEc_`Ap5dprD}-#t$E;_n`J@9pq97pH%{d)&RZ!|Pm}{`Ky0 z_udY#b8-6DyT{#oJG}n&kH0?stNma8+S9+s*}v)pG9b@;Vo% zf3=)@yS&cD>0d49-Y);;r^o4Dyye{6<#jGj|7tn+c6ptP)4y8Iys*}v)pG9b@;Vo% zf3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO z`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPo zr+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0m zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA z7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_ zb8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T& zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y zuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq{O>s*}v)pG9b@;Vo%f3=)@yZj%&K2G=IE$7}Y|L1p))4h1hxwp&f zT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0X(6qksGKul9fbmrws{&wchUPXB5-{i|JG z=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+cKI(qJx=%HE$7}YuXAzwSIfD#%j;a6{?&5s z?eaPor+>Aad%OJC-yWxX@s@LMm;d?u$LU_Y<=orlbuLc-YB~3I`Coo~obJV2&b?h; z=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*) zUgzTUuaCQmUC~H*SR?TtL5C= z<#jGj|7tn+c6ptP)4y8IyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyCQmUC~H*SR?TtL5C=<^TBgak>|8Irnz? zKfimN?!{Zqy8U+wZb7pH%x&c*3pE$7}YuXAzwSIfD#%YXUlak>|OJJ|8={O9>M zKR=y|)4y8Iy0d49-mdrST%7*Za_;T&Iv1yZwVZpq zyw1hxUoCgL*k_m5xj6l+<=xxmbuLc-YB~3Id7X>Xzgo_{U0&zn^skn4Z8byx!c7)yS&cD>0d2>yVz%!*SR?TtL5F><#jGj|7tn+c6ptP)4y8ocCpVc zuXAzwSIfJ%%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%iS*a+2wUEPXB88 z+r>V+yw1hxUoG$6F0XTO`d7={F80~wbuLc-YI*l|d7X>Xzgq5gvCl5Ab8-4t%e%MB z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d2(yVz%!*SR?TtL5F><#jGj|7yA0#Xh^d&c*3p zE$`kguXAzwSIgZl_SxliE>8by`P;=lyS&cD>0d4H-Y&0mar#%wxwp&fT%7*Za<_|p zc6ptP)4y8Yys*}v)pG9b@;Vo%f3=)@yS&cD>0d2(yVz%!*SR?TtL5F><#jGj z|7tn+c6ptP)4y8Iy7o{?&5s?eaPo zr+>BF?P8x@{*PZDr+e|YgB|DY=X{=j^Y{PryT|EXyye{6^?sd;)4y8IyUub=+a{*V9c>0j-+fAjOxxj6l+<=orlbuLc-YB~3Id7X>X zzgo_{U0&zn^skn4Z0d49-Y&0mar#%wxwp%I z{q1qO7jHTDcKM&bf1K{cTh6^*UgzTUuaX zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP z)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*)UgzTUuaCQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*){`Vgqr+e|1 zb8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH*?>AE$fqmUC~H|MR=Y>0Z3$+}q`K zE>8byIrnyXor}}ITF$*)UgzTUuaBF?P8x@ zUgzTUua0d2(yVz%!|N7hGbT8iW?(OnFfB!h$i?^J6yS&cD>0d49-Y);k zkB`&6_}jsbcjrIPzxnsmxj6l+<=or#ew~Zczgo_{U0&zn^skn4Z`OyS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoCgL z*k_m5xj6l+A)d%L{O#pz!y=iV-_b8-4t%iS*a+2wUEPXB6o_jY-m zi_^ba&b?h;=i>CQmUC~H*SR?TtL5C=<#jGj|7yA0#Xh^d&c*3pEq}Y%XP4KxIQ^^T z-P`4LE>8byx!c7)yS&cD>0d4H-Y&0mar#%w-7fao<#jGj|7v;nc6ptP)4y8IyA)d%L{O#pz!yce~hUm)E&C{j25O+vRmGPXB7T z+r>V+yw1hxUoC&T*k_m5xj6l+<=xxmbuLc-YB~3Id7X>Xzgq5gvCl5Ab8-4t%e%MB z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d2(yVz%!*SR?TtL1MO`|R>M7pH%<{Ow|&U0&zn z^skn8Z`OyS&cD>0d2(yVz%!*SR?TtL5F><#jGj|7tn+ zc6ptP)4y8IyA)d%L{O#pz!y=iV-_b8-4t z%elA9|Ng_{bT9sPu;bnN&+~77f77`*{j25N+x32(i_^ba&b?h;=i>CQmb+c-v&;YS z>*I7U{&ukA-2I%-^KbtCe}4Bk-HW%Jd%NDRb8-4t%elA9>s*}v)pG9b@;Vo%f3=)@ zyS)DO5B|l|zuN!)A3y!8J@;>ZemWPYf3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Uw(R=?!{Zqy0d49-Y&0mar#%wxwp&fT%7*Z za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3p zE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A+j!{c->-g55k@;Vo%f3=)@ zyS&cD>0d49-Y&0mar#%wxwp&z@$2JsFWz$Q?ec$q_c+~)x14*syw1hxUoGd}F0XTO z`d7=jx6A8Xoc`5v?(OpW*Wdq3Pyc%N|9$$`-*5lY?q8h#_3m-{7k_tnor}}I-aYQ# z+u?OCPXBuMxO;Dh*SR?T>)qq-y&e9`Pmj~R_`Ap5dpo?&#pz$~9(V8U@H!W#f4zI$ zy|=^bT%7*(?s50t4*&JH$LU`D-Q(`P9scL)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{ z{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b? z_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0 z)qq-y&YcX;`Fa~kGuDFc%6&WzurCW z-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{ zZ->{pIQ{G0)qq-y&YcX;`Fa~kGuDF zc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se=i>CQcaOXGcKF|ac%1IV-#zZ$ z+u?OCPXBuMxO;Dh*SR?T>)qq-y&YcX;`Fa~kGuDF_&|O z_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzs^{@Z-|9tva```Wbr+>BQ zKL7uV)4y6y_iC5dxj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH;2YkJG()%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y)<3x5w#Tyye{6<$wPEak>|8IrnyXor}}ITF$*) z{+Aygr+e|1b8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7tn+c6ptP)4y8IyX zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP z)4y8IyXzgo_{U0&zn^skn4Z8byIrnz?-+y?V?!{Zqy8byIrnyXor}}ITF$*)UjO=g z|KHQU+W+SNe)?B??z4Y!`d7>8U+wZb7pH%x&c*3pE$7}YuXAzwSIfD#%YXUl zak>|8IrnyXor}}ITF$*)UgzTUua(x14*s{LkM%PWR$1 z=iV-_b8-4t%elA9|MKJGbT8g=?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x z&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq zyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV;=`wx%Py?D#Hx6A8Xoc`5v?(OnA7pH%< zoO`>x&c*3pE$7}Y|HrS7)4h1hxwp&z`Q77mFWz$Q?eaPor+>Aad%L{O#pz!y=iV-_ zb8-4t%elA9>tFx%KY03A`}h9+r+>BQKKmD^f3=+c)h@4dar#%wxwp&fT%7*Za_;T& zIv1yZwVZpq{Fk2|r+e|YgB|bAf1ZEy^V7LF{j25N+x32(i_^ba&b?h;=i>CQmb+c- zv&(<|?QyynZ+Z82`JcakobJV2&b?h;=i>CQmUC~H|K-QW>0bQpV8^@jpXcBF`{`Vq z{?&5s?Rvk?#pz!y=iV-_b8-4t%elA9>s*}v)pECseRg@Bi_^ba-o0I3=i>CQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8Iys*}v)$;D`@;Vo%f3@80VxL`J=i>CQ zmUnNL*SR?TtL1JN`|R>M7pH%)A?6b@3T%7*Z^6u^OIv1yZwcPDupIu((;`FbUzg_IJ%j;a6 z{?+pC?eaPor+>Aad%L{O#pz!yce~hUm)E&C{j25O+vRmGPXB5-_jY-mi_^ba&b?h; z=i>CQmb+c-v&-vToc`7Fw~Kvtd7X>XzgqrwvCl5Ab8-4t%e%MB>s*}v)pECseRg@B zi_^ba-o0I3=i>CQmb+c-v&-vToc`7F?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%j;a6{?&4~i+y%^or}}ITHd`~UgzTUuabbe>>Rm?)>NZ zH^0B>T%7*Za_;SVzs|+!UoGd}F0XTO`d7={F80~w|M>NBx)*;t*m3TD&gc0zfB!$f zdz|jYTh6^*@7K9F{j25N+vRmGPXB5-_jY-mi_^ba&b?h;|N6WC&(pu!pZ>3>f3@fS z&CgHg;`FbUb8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{UH;2YkJG()%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y)<3x5w#Tyye{6<$wPEak>|8IrnyXor}}ITF$*) z{+Aygr+e|1b8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7tn+c6ptP)4y8IyX zzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP z)4y8IyXzgo_{U0&zn^skn4Z8byIrnz?-+y?V?!{Zqy8byIrnyXor}}ITF$*)UjO>9 z{)4A~wSV{Dd-_*Xzgo_{U0&zn^skn4ZAad%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pECseRg@Bi_^ba{&um?F0XTO`d7=lx6A8Xoc`5v z?(OnA7pH%<-0fnYU0&zn^skn8Z8byIrnyXor}}ITF$*)UgzTU zua>)A?6b@3T%7*Z^0$k9c6ptP)4y8YyBF z?P8x@UgzTUuaXzgphCU0&zn^skn?UF@^V>s*}v)$+HCeRg@Bi_^ba-o0I3=i>CQ zmUC~H*SR?TtL1JN`|R>M7pH%{_F_u_8{JKmlDJpbnRH=T>qzgo_{ zUGLYqIQ^^T+}q`KE>8byx!c7)yZj%&K2G=IZwEWh-Ou?v|K{)i=Xa0Oy?D#Hx9j~n z7pH%x&c*3pE$7}YuXAzwSIfD#%j;kN#lL#`SNmW7)2Dy6=l;#lPv_$Fuax&c*3pE$7}Y|Mj=W>0Z3$+}q`U{{C^g7jHTDc6ptP)4y8IyCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8by zIrnyXor}}ITF$*)UgzTUuaCQ zmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTU zuaCQmUC~H*SR?TtL5C=<#jGj z|7tn+cKP3bc%1IVTh6^*UgzTUua0j^uyQhEs zo%XNn{>ABE?;fXr@pp&Uxj6mn-Q(`P9bV_+^sjf1yZ3f@or}}I-aYQ#+u^_b^f=v% zzkA%hx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@Lzv>obJWnJ?`Gy;eY=A zak>|O_qcm+hu66{{p;Q1?!6uUmmeRed+~RVyZ3f@or}}I-aYQ#+u?OCPXBuMxO;Dh z*SR?T>)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{{p;Q1?!6se z=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b?_qcm+hu66{ z{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0)qq-y&YcX;`Fa~kGuDFc%6&WzurCW-rM1IE>8b? z_qcm+hu66{{p;Q1?!6se=i>CQcaOXGc6gnO)4$$5?%vzsbuLc-diS_{Z->{pIQ{G0 z)qq-y&YcX;`Fa~kGuDFc%6&WzurCW z-rM1IE>8b?_qcm+hyVSD$LU`D-Q(`P9bV_+^sjf1yZ3f@or}}I-aYQ#+u?OCPXBuM zxO;Dh|Kr!k>0bQZ8b?_qcm+hu66{ z{p;Q1?!6se|N77V`P0AJ|NQSh{i{9q`Tt*>{?&52SG&B<#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b@?U;>obJV2&b?h;=i>CQmUC~H*SR?TtL5C=<#jGj|7tn+cKNTrJx=%H zE$7}Y|MT~c)4h1hxwp&fT%7*Za_;T&zx?<(-HW%Jd%L{O#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq zyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD# z%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@ zyS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=j zx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aa zd%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%w zxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%< zoO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t z%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&z{=?&RFWz$Q?eaPo zr+>Aad%L{O#pz!y=iV-_b8-4t%elA9|MBbNbT8g=?(On_e)l-ti?^J6yS&cD>0d49 z-Y&0mar#%wxwp&fT%7*Za_;T&`q$t7i%uCQcaOXGc6gnO z)4$$5?%vzsbuLc-diS_{Z-@W-+v9XE{_b)2-VXos_m9)P_`Ap5dpo?&#pz$~9(V8U z@W1@{INgiCd)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+Iv1yZy?flf zx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^bT%7*(?s50t z4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#Gar)Q0$K887 zyw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t>0j?2ckk`+ zIv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W#f4zI$y|=^b zT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v_3m-^-VU#G zar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJG{=t z>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@H!W# zf4zI$y|=^bT%7*(?s50t4zF`@`q#V1-FrK{&c*3p?;dyW?eIDmr+>YB+`YHM>s*}v z_3m-^-VU#Gar)Q0$K887yw1hxU+*4w@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6D zyT{#oJG{=t>0j?2ckk`+Iv1yZy?flfx5MjPoc{IharfR1uXAzw*Sp8vdprE^KRizN z;_n`J@9pq97pH%{d)&RZ!|Pm}{`Ky0_udY#b8-6DyT{#oJNzHNK2G=I?;dyW?eKqo z_c+~)zkA%hx5MjPoc{IharfR1uXAzw*Sp8vdpo?&#pz$~9(V8U@cP$(`t|8w?ce%q zPycGqeg6L!r+>Aa?$s`@b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yZo1*9;bWpmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8Iys*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0m zar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA z7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_ zb8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T& zIv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}Y zuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b z@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd} zF0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s z?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49 z-Y&0mar#%wxwp&fT%7*Za_;T&zyI(!-HW%Jd%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@_+pLINgi4oO`?cpWi)B_u?(*-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpq zy#Dn!|LxPi+W+KVKK-jb_u0QV{j25luXcHzi_^ba&b?h;=i>CQmUC~H*SR?TtL5C= z<-h#&INgi4oO`>x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?ebrLdz|jYTh6^*{^#!> zr+e|1b8nZ|xj6l+<=orlfBErox)*Ob_jY-mi_^ba&b?h;=i>CQmUC~H*SR?TtL5C= z<#jGj|7tn+c6ptP)4y8IyXzgo_{ zU0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8I zyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}I zTF$*)UgzTUuaCQmUC~H*SR?T ztL5C=<#jGj|7tn+c6ptP)4y8IyCQmUC~H|Kr!k>0Z3$+}q{<{O)nO7jHTDc6ptP)4y8Iy0j-+&;G^fUoEG9wae>Voc`5v?(OnA7pH%< zoO`>x&c*3pE$7}Y|K+F0>0bQpV8^@jpXcBF{B$l(|7tn+cD-Nc;`FbUb8nZ|xj6l+ zr+e|1b8nZ|xj6l+<=orlfBErox)*;t*zxZC=lM7P zemWPYf3=)@yWX#Jar#%wxwp&fT%7*Za_;T&Iv1yZwcPDupIu((;`FbUcW;;1xj6l+ z<=orlbuLc-YB~3Id7X>Xzgo_{U0&zn^skn4ZCQmUnNL*SR?TtL5C=<#jGj|7yA0#Xh^d&c*3pE$`kguXAzwSIfD#%j;a6{?&5s z?eaPor+>Aad%L{O#pz!yce~hUm)E&C{j23~7yIn;Iv1yZwY+<~yw1hxUoCgL*k_m5 zxj6l+<=xxmbuLc-YPs9RKD)fm#pz!y@7^x2b8-4t%elA9>s*}v)pG9b@;Vo%f3@80 zVxL`J=i>CQmUnNL*SR?TtL1JN`|R>M7pH%`OyS&cD>0d49-Y&0mar#%w-7fao<#jGj|7v;nc6ptP)4y8IyBl?P8x@UgzTUua>`E?6b@3T%7*Z^6u^OIv1yZwcPDu zpIu((;`FbUcW;;1xj6l+A)d%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3@80VxL`J=i>CQmUnNL*SR?TtL5C=<#jGj|7tn+cKP3bc%1IV-wt-X zJO6q9&F^nI7pH%Xzgo_{UH;2YkJG()%elA9 z>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y)<3x5w#Tyye{6<$wPEak>|8IrnyXor}}I zTF$*){+Aygr+e|1b8nZ|xj6l+<=orlbuLc-YB~3Id7X>Xzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyX zor}}ITF$*)UgzTUuaCQmUC~H z*SR?TtL5C=<#jGj|7tn+c6ptP)4y8IyXzgo_{U0&zn^skn4Z8byIrnyXor}}ITF$*)UgzTUuaCQmUC~H*SR?TtL5C=<#jGj|7tn+ zc6ptP)4y8IyXzgo_{U0&zn^skn4 zZ8byIrnz?-+y?V?!{Zqy8byIrnyXor}}ITF$*) zUjO>>uRi^&{TqMb>0j-+&;G^fUoCgL*k_m5xj6l+<=xxmbuLc-YB~3Id7X>Xzgo_{ zUH;2YkJG*Q+rf@^=ReQC`T6Nwoc`5v?(KTN&c*3pE$7}YuXAzwSIgZl_SxmX{`NTC zi?_UcyZq1JKTh}JE$7}YuXAzwSIfD#%m4D@<8&|ncCh2!`Oouj{{3_=PXB5-_jbKs z=i>CQmUC~H*SR?TtL5C=<#jGj|7yA0#Xh^d&c*3pE$`kguXAzwSIfD#%j;a6{?&5s z?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%iS*a+2wUEPXB88+r>V+yw1hxUoG$6F0XTO z`d7=jx6A8Xoc`5vw~Kvtd7X>XzgphCU0&zn^skn4Z8byIrnyX zor}}ITJCnS&n~ZXar#%w-!Asq<#jGj|7v;nc6ptP)4y8ocCpVcuXAzwSIfJ%%j;a6 z{?&4~i+y%^or}}ITHd`~UgzTUuaV+yw1hxUoG$6 zF0XTO`d7={F80~wbuLc-YI*l|d7X>Xzgq5gvCl5Ab8-4t%ik{c+2wUEPXB6o_jY-m zi_^ba&b?h;=i>CQmb+c-v&-vToc`7F?(OnA7pH%x&c*3pE$7}YuXAzwSIgZl z_SxliE>8by`P;=lyS&cD>0d2>yVz%!*SR?TtL5F><#jGj|7yA0#Xh^d&c*3pE$`kg zuXAzwSIgZl_SxliE>8bydG~gCor}}ITF$*)UgzTUuaV+yw1hxUoG$6F0XTO`d7=jx6A8Xoc`5v?(Oow|L{27i@zQ0cz6Eu{F~q3bS_T+ zYB~3Iyx&c*3pE$7}YuYdiA|KjOi?O*@LPycGq{hObk&c*3p zE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%OIXpB|@s@s@LMm)E&C{j25N+vRmG zPXB5-_jY-mi_^ba&b?j!>u-Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v z)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hx zUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6 z{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD z>0d49-Y&0mar#%wxwp&fT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8X zoc`5v?(OnA7pH%x&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O z#pz!y=iV-_b8-4t%elA9>s*}v)pG9b@;Vo%f3=)@yS&cD>0d49-Y&0mar#%wxwp&f zT%7*Za_;T&Iv1yZwVZpqyw1hxUoGd}F0XTO`d7=jx6A8Xoc`5v?(OnA7pH%x z&c*3pE$7}YuXAzwSIfD#%j;a6{?&5s?eaPor+>Aad%L{O#pz!y=iV-_b8-4t%elA9 z>s*}v)pG9b^8Y`d_80T{S=WD_9=L`BWl_sW6%Z8>%O9zZBPw9gGE{*AiW3f8!-3nl zNtdvRu^d?IcDoZd=@JfH!+~qu#NUKX8kbF6WAgJy{3%w{f~XV+#c@+p|4KRcw0S!hXa7n$_q2IC7ia%U zIrp@A`_~UYd+lGlf4BCpA5Nd$_b<-=wL6^si=Qpt&c)fkc88nyw0JugXaCwAZr;=4 z?OdGwYj?PLPm90v_T}te{OoY^o)&NC;_P3$!_9kIyq$})f9(!8?`iRNF3$e7JKVgd z#ou*lIlC7>JKVgd#ov8yIlC7>JKVgd#oM_!``7Mp^PU!e&xPgeUi|EE^PU!O=i=;N zyTi?UTD+Z$vw!UlH}7fjb}r8TwL9Frr^Va3IQ!S`aPyuPZ|CCdU%SK2ds@7mi?e_2 z4ma;<@pdlG{|eXX&3jtBor|-7?G88ZY4LV0&i=JK z+`Om7+qpRV*Y0rho)&NC;_P3$!_9kIyq$})f9(!8?`iRNF3$e7JKVgd#oM_!``7Mp z^PU!O=i=;NyTi?UTD+Z$vw!UlH}7fjb}r8TwL9Frr^Va3IQ!S`aPyuPZ|CCdU%SK2 zds@7mi?e_24ma;<@pdlG{|eXX&3jtBor|-7?G88Z zY4LV0&i=JK+`Om7+qpRV*Y0rho)&NC;_P3$!_9kIyq$})f9(!8?`iRNF3$e7JKVgd z#oM_!``7Mp^PU!O=i=;NyTi?UTD+Z$vw!UlH}7fjb}r8TwL9Frr^Va3IQ!S`aPyuP zZ|CCdU%SK2ds@7mi?e_24ma;<@pdlG{|eXX&3jtB zor|-7?G88ZY4LV0&i=JK+`Om7+qpRV*Y0rho)&NC;_P3$!_9kIyq$})f9(!8?`iRN zF3$e7JKVgd#oM_!``7Mp^PU!O=i=;NyTi?UTD+Z$vw!UlH}7fjb}r8TwL9Frr^Va3 zIQ!S`aPyuPZ|CCdU%SK2ds@7mi?e_24ma;<@t4mpXZPY~hnx4bcsmzo|JogH-qYgk zT%7%Dcer^^i??%e_OIRH<~=R`%EjgEUi|EE^PU!e^~`d1FMf8oc~6VCb8+^s-Qngv zE#A(>*}ry&oAY4dh2&i<8h?rHOOF3$dya_(vKciz67-HTVwJ#F63#o517&OL43&c)fkQqDbX z-p<9@zf#UUZT_xH%h|nn<=oTe?>@Jj-HTVwJ#F63#o517&OL4Zo(s#_y?Eu^)8_45 zoc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe z?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0% z)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y z<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ z{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%am zT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN z=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1 z+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGw zE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45 zoc$~1+|%amT%7$Y<=oTeFP~q|?!_zTo;Gji;_P23=bkoi=i=;NDd(OxZ|CCdUn%FF zHh<;fa&|9XIrp^rt7n$8d-2M-r_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D+1; z@E>dcO5gaqYyV2ez3yL}{VV0{UupApF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dm9 zzMS2QSI#|c-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL4Zu1m|=y?Eu^)8_9!x18OJ zSI#|c-p<9@zf#UUZT_AM%h|nn<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%am zT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN z=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1 z+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGw zE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45 zoc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe z?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0% z)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y z<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ z{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8;RqU(W8uE9agz zZ|CCdUn%FFHgD(R>|ZJ8o;Gji;_P23=bkoy<>GR7FJ3wKwE3%Nma}{D%DJb_+qpRV zSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBzi#^<*Z!5h;XBs;m5zJezc~9>%Gtlt=IvaZ z{VV0%)8_45oc$~1+|%amT%7$Y<=oTe@4S6EyB9xqu;JbL)AMV7|Lk0x{VV0%)AoKl z7ia%UIrp@AI~QmFO1Zg|ZH2cd=8O zw{vm!uatLBo40du_OFz4Pn)-MarUp2b5EPMb8+^slygs;w{vm!uat97o40du_OFzi zyV$AC+qpRVSIW;_?9}G%T%7$Y<=xZf?OdGwE9KnN=IvaZ{VV0>E_Q13b}r8TmGbUs z^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2z_zJGFT`7ia%U`MHao+Ps~M zvwx+$d)mC6i?e^F+}y=ZZQjns*}qcWJ#F63#o517Zth~IHgD(R>|ZJGo;Gji;_P23 z=bkoi=i=;NDd(OxZ|CCdUnw_tu~VD3b8+^sly^^?w{vm!uauj+*s0Cixj6e*%Dbn{ z+qpRVSIW&@?9}G%T%7$Y<>xMTYV&q3&i+p|4KRcw0S!hXa7pMxr?3Jyq$})f2I7~#ZGPB&c)fk zQhx4Yr#5fr;_P23@18bq=i=;NDK~eqQ=7MQarUp2cTbzQb8+^sl$*QQsmM7niep@pA_o&fV8JJ-_Ck|LU3L>|VTb?rD3!or|-7rJQ@(yq$}) zf2Evz+Ps~Mvwx+Wd)mDH>vey*_OJBy|7q=C>9}9>`)B9k>|ZJ8o;Gji;_P23=bkoi z=i=;NDd(OxZ|CCdUn%FFHh<^s%h|nn<=oTe?OdGwE9KnN=IvaZ{VV0%)8_45oc$~1 z+|%aoy0o0#i&xG)ZT{|a%h|nn<=oTe?OdGwE9KnN=I^<%oZX98&OL43&c)fkQqDbX z-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcG zJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43&c)fk zQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns z*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43 z&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UU zZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517 z&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@ zzf#UUZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63 z#o517&OL43&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX z-p<9@zf#UUZT|B4Y4dh2&i<8h?rHN^E-q*H z;+1nxo4$R_6`&at9 zXRQ4z9rwC_arUp2o4eSl&D*&+`&Y`lr_I~BIQv)1xu?zBxj6e*%DJb_-+B9Tb}xSJ zV8grfr{~xF{@J-W`&Y`jr|tcAF3$dya_(vKb}r8Tm2z_zJGJ?{E-h#G;+1z#o4@|ZJ8o;Gji;_P23=bkoi=i=;N zDd(OxZ|CCdUn%FFHgD(R>|ZH2cd=8Ow{vm!uauv=*s0Cixj6e*%Dbn{+qpRVSIW7k z&D*&+`&Y`%UF_86?OdGwE9KqO=IvaZ{VV0%)8_45oc$~1+|%amT%7$Y<=oTe?OdGw zE9K@cc53r>F3$dy@^cqEwRt-iXa7oh_q2IC7ia%Uxw(s-+Ps~Mvwx+$d)mC6i?e^F z+}y=ZZQjns*}qcWJ#F63#o517&OL43&c)fkQqDbX-p<9@zfx}QVy8B5=i=;NDes;( zZ|CCdUnw_tu~VD3b8+^sly^^?w{vm!uauj+*s0Cixj6e*%FkWw)aLD6oc$~1-P7jn zT%7$Y<=oTe?OdGwE9K@cc53r>F3$dy^6qK#b}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h za~C_cc{>+p|4R9}i=EoMor|-7rTpB*PHo=K#o517-aT#J&c)fkQf}^Ir#5fr;_P23 z@18bq=i=;NDK~eqQ=7MQarUp2cTbzQb8+^slygs;w{vm!uat97o40du_OFz4Pn)-M zarUp2o4eSl&D*&+`&Y`lr_I~BIQv)1xu?zBxj6e*%DJb_Up~K_-HV?)*zoTB>G?H( zezS9N_OFz4Puu(LT%7$Y<=oTe?OdGwE9K@cc53riE-q*H;^z)FoV%}cdVbA6|J5_g z*}Zt>+|%}cI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2KY*AM)cwST2Q_`k0GD;@W1 ze*f%Toc$~1+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_BIeL1@qubg|@yq$}) zf2Evz+Ps~Mvwx+Wd)mC6i?e^FoO{~*U6+=#d-2M-r_JAeZaKRbubg|@yq$})f2Evz z+Wb8ima}{D%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRV zSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~B zIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_ z+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`j zr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e* z%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+ z`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zB zxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k z&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1 zxu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_EnJzntBRSI#|c-p<9@zf#UUZQjns z*}qcGJ#F63#o517&OL4Z%EjgEUc7SdY4calENA!Pm2*#{-HV?cZr;=4?>@Jj-HV?cZr;=4?OdGwYj?PLPm90j!g6*mes;Kd zPm8y6arUp>;pROp-p<9@zjlY4_q2FB7ia(49d6#!;_Y0V{cCr)c~6VCb8+^s-Qngv zE#A(>*}ry&oADw{vm!uifG1JuTkO#o51hhnx4b zcsmzo|JogH-qYgkT%7%Dcer^^i??%e_OIRH<~=Rm&c)fkc88nyw0JugXaCwAZr;=4 z?OdGwYj?PLPm8y6arUp>;pROp-p<9@zjlY4_q2FB7ia(49d6#!;_Y0V{cCr)c~6VC zb8+^s-QngvE#A(>*}ry&oADw{vm!uifG1JuTkO z#o51hhnx4bcsmzo|JogH-qYgkT%7%Dcer^^i??%e_OIRH<~=Rm&c)fkc88nyw0Jug zXaCwAZr;=4?OdGwYj?PLPm8y6arUp>;pROp-p<9@zjlY4_q2FB7ia(49d6#!;_Y0V z{cCr)c~6VCb8+^s-QngvE#A(>*}ry&oADw{vm! zuifG1JuTkO#o51hhnx4bcsmzo|JogH-qYgkT%7%Dcer^^i??%e_OIRH<~=Rm&c)fk zc88nyw0JugXaCwAZr;=4?OdGwYj?PLPm8y6arUp>;pROp-p<9@zjlY4_q2FB7ia(4 z9d6#!;_Y0V{cCr)c~6VCb8+^s-QngvE#A(>*}ry&oA+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!h zXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@A zI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRc zw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC7ia%U zIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p z|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC z7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tm zc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$ z_q2IC7ia%UIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmF zN;&tmc{>+p|4KRcw0S!hXa7n$_q6%T=a;j4@yfZU&D*&+`&Y`jr_I~BIQv)1xu?zB zxj6e*%DJb_U%9xP-HTVwJ#GH#ndR(WymIbo^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dy za_(vK_ODle`P#pBA6om@tJ5#<`xj^b+8xgR#m^RR=i=;NyTi?UTD+Z$vw!UlH}7fj zb}r8TwL9Frr^VlS`*L;pROp-p<9@zjlY4_q2FB7ia(49d6#! z;_te&oZX9`9d6#!;_p7UoZX9`9d6#!;_Y0V{cCr)c~6VK=fZM!FMf8oc~6VCb8+^s z-QngvE#A(>*}ry&oADw{vm!uifG1JuTkO#o51h zhnx4bcsmzo|JogH-qYgkT%7%Dcer^^i??%e_OIRH<~=Rm&c)fkc88nyw0JugXaCwA zZr;=4?OdGwYj?PLPm8y6arUp>;pROp-p<9@zjlY4_q2FB7ia(49d6#!;_Y0V{cCr) zc~6VCb8+^s-QngvE#A(>*}ry&oADw{vm!uifG1 zJuTkO#o51hhnx4bcsmzo|JogH-qYgkT%7%Dcer^^i??%e_OIRH<~=Rm&c)fkc88ny zw0JugXaCwAZr;=4?OdGwYj?PLPm8y6arUp>;pROp-p<9@zjlY4_q2FB7ia(49d6#! z;_Y0V{cCr)c~6VCb8+^s-QngvE#A(>*}ry&oAD zw{vm!uifG1JuTkO#o51hhnx4bcsmzo|JogH-qYgkT%7%Dcer^^i??%e_OIRH<~=Rm z&c)fkc88nyw0JugXaCwAZr;=4?OdGwYj?PLPm8y6arUp>;pROp-p<9@zjlY4_q2FB z7ia(49d6#!;_Y0V{cCr)c~6VCb8+^s-QngvE#A(>*}ry&oADw{vm!uifG1JuTkO#o51hhnx4b_{-;)vwQKg!_9kIyq$})f9(!8?`iRN zF3$e7JKVgd#oM_!``7Mp^PU!e<>GR7FMf8oc~6VKdS*Gh7e71Pyr;$6xj6gR?r`&- z7H{X`>|eXX&3jtBor|-7?G88ZY4P^2@4sv9U+FEcUi()%?)CS-IQv)1*}c-{?OdGw zE9KnN=IvaZ{VV0%)8_45oc$~1+|%aoynQ*l7q6Uq+Ps~Mvwx+Wd)mC6i?e^FoO{~5 zor|-7rJQ@({9TupvwQK%xu?zFeQr6s7q6Uq+Ps~Mvwx+Wd)oXx7nZYo@yfZU&D*&+ z`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zB zxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k z&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1 zxu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRV zSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~B zIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_ z+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`j zr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e* z%DJb_+qpRVSIW7k&D*&+`&Y`jr_I~BIQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&D*&+ z`&Y`jr_I~BIQv)1xu?xvKEIsZi&xG)ZQjns*}qcGJ#F63#o517&OL43&c)fkQqDbX z{>sJW>|VTb?rHN^&n##6;+1nxo40du_OFz4Pn)-MarUp2b5EPMb8+^slygs;w|~9r zk+pxN-}nEm{VN^!x_@!@uavWYrOn&9IQv)1xu?zBxj6e*%DJb_+qpRVSIW7k&EI+Z za&|9XIrp@AI~QmFN;&tmc{>+p|4KRcw0S!hXa7n$_q6%DE-h#G;+1nxo4@|ZJ8o;Gj)y7|9b`&as^Z(93TI_`D<;_P23Xa7o@w{vm! zuat97o40du_OFz4Pn)-MarUp2b5EPU^Y-QJUi{p_hIi*r&#(FYvvYCwuat97+xzWY zoc$~1+|%amT%7$Y<>oGSYV&tpTF&mpEAO5*fA_iN>|VTb?rHOOF3$dya_(vK_gq-c z?#0g?YX?wq&i?e^FoO{~5or|-7rJQ@(yq$})f2G{q#ZGPB z&c)fkQr+p|4MoHw0S!hXa7pMxr?3Jyq$})f2F*8+Ps~Mvwx+W zd)mC6i?e^FoO{~5or|-7rQF=bPHo=K#o517-aT#J&c)fkQf}^Ir#5fr;_P23@18bq z=i=;NDK~eqQ=7MQarUp2pS#$p&D*&+`&Y`lr_I~BIQv)1xu?zBxj6e*%FSKu)aLD6 zoc$~1-P7jnT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0>E_Q13b}r8TmGW~JJGFT`7ia%U z`MHao+Ps~Mvwx+$d)mC6i?e^F+}y=ZZQjns*}qcWJ#F63#o517Zth~IHgD(R>|ZJG zo;Gji;_P23=bkoi=i=;NDd(OxZ|CCdUn%FFHgD(R>|ZH2cd=8Ow{vm!uatLBo40du z_OFz4Pn)-MarUp2b5EPUe118*7e9Bf;obSu^K1V6X6NGUUn%FFw)fk)IQv)1xu?zB zxj6e*%FSKu)aI{TT+Z&r&mC+ycVFl9{F;CMt7n$8d-2M-r|tcAF3$dya_(vKb}r8T zm2&QB^L8%I{*`j>Y4i54SAKBqU+MS$A8Y?g$Nie$KRXv^|4KRcw0S!hXa7n$_q2IC z7ia%UIrp@AI~QmFN;&tm`8#i4&hEu4=bkoi=i=;NDd(OxZ|CCdUn%FFHgD(R>|ZJ8 zo;H8irRD5iymIbo^LL+H&hEu4=bkoi=i=;NDd(Oxf6s;G>|VTb?rHOOF3$dya_(vK zb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j> zY4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dy za_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I z{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOO zF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB z^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h z?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8T zm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2 z&i<8h?rHOOF3$dya_(vKb}r8Tm2&QB^L8%I{*`j>Y4dh2&i<8h?rHOOF3$dya_(vK zb}r8Tm2&QB^Ow&rXZPZjb5EPMb8+^slygs;w{vm!uat97o40du_OFz4Pn*ATaXGse zubg|@{M9qd*}Zt>+|%amT%7$Y<=oTe?OdGwE9KnN=IvaZ{VV0%)8_48uXx4UztUI! zH*5b&$Gz@foc$~1<}P+>^L8%I{+06XY4dh2&i<8h?rHOOF3$dya_(vKciz67-HV?) z*zoTB>G?Ike|9d;{*`j>X?wq&i?e^FoO{~5or|-7rQF=bPHq0KOUv24c;(&G=I=hY zoZX98&OL43&c)fkQqDbX{+F3$dy^6qK#b}r8Tm2&QB z^L8%I{*`ib7dy3iI~QmFN_qFRc{>+p|4KRcw0S!hXa7n$_q2IC7ia%UIrp@AI~QmF zO1Zg|ZJGo;Gji;_P23 zH+Qj9o40du_OFz8Pn)-MarUp2b5EPMb8+^slygs;w{vm!uauj+*s0Cixj6e*%Dbn{ z+qpRVSIW&@?9}G%T%7$Y<=xZf?OdGwE9K@cc53r>F3$dy@^cqEwRt-iXa7oh_q2IC z7ia%UIrp@AI~QmFO1Zg^L8%I{+06XY4dh2&i<8h?rHOOF3$dya_(vKm(MR}_u}UcHoQB3dVbBH z-|SqR{VV0%)AoKl7ia%UIrp@AI~QmFO1Zg1(=kDvAo?r9NfA!3A zb}wEz_q4s=&c)fkQqDbX-p<9@zf#UUZQjns*}qcGJuUvm|N8c)eADw^|CAel`(;PJ z`58}{_jEkJ*7xtm-??tN-}%5%&OL4Z{qI`tci(c9b5EOp;JM2^@TsGmd)oZ(y?40} zyyGb6o;LscH!t@GPd&=Hr_KMthnM@qcOT{4)8-$1>vA7_!BNgVZT^FwS?)u>ag=jU zoByMqUGBrT9_8HA=KuKV%l+}?qnvx%{Ga^ta)0_0M>+Sj`H#GGxsQC|DCeFw|IlwO z_tBp_%DJb_|JfUtyZp?foO{~*<*Unm>^(<0_q6#xfBSNO@v@_wd)oZRuUqcpA2`an zr_KN6yOz81mZO||+WcQVce%g%)KShoZT{+em;38?9Oc~8=KtpA<^J}mM>+Sj`M>?} za-VqjQO-SW{_ozp+$Udflygs;|Kw+u`}^NG%DJb_f9hwK`-fYPa_(vK|M>Lf{_*lr z&OL4ZpMH6{hkxQI=bkqI$V-=d|JHK<{BuV+_q6%Xym7hDKJzH&o;Ls4 ztIPe%dyaDMY4e|Z`*M%I>?r4+HvjqSmizn%j&km4^N+o2xqp4jQO-SW{tM4t?hBtf z%DJb_KmOk3{_Pz{Irp^rf4_OTC!Ttgb5EOp;={}R$GeYm?rHO1eCu>Ce98-sa_(vI z*FEh$Px*%P|L~OS{@b@7ea-*-lzC6b^J{(nyYA^PTkb2aJIcAI&40xQmix+g9p&89 z=D+GK%U%E6qnvx%{Pmw&?iuer%DJb_pLxe}Uw!jY&OL4ZnNMBrnIAsNxu?zF@b2Zl z=B-CL_q6%1eZg{H`j9H%SSo) zwE3_9iRHfGrAImUwE1uN!gAmETSqzfwE5@#+;ZRa#-p5j+WhmMx!m)w9_8HA=D+zp z%e~<3M>+Sj`EPmIayMRglygs;zwrahz3^Q}Irp^r7rkY<7eDtX=bkqI;!iF2lJ_3v z+|%a2^&QK-^yZ_Sd)oX>PhIY&4+Sj`R}}SxtBftDCeFw|FX-=eb+A^<=oTezxyYa`<|B`<=oTezvm0fz5KV1 za_(vKulTv;UirqOoO{~*_davE@4b4Ib5EPU`8~_M>g`84_q6%%d)ac|f89~eJ#GH` zKd{^_?>fr4r_I0mEz6yM?orM?ZT|eHmV3>6k8FGx~_q6#p zU0&{oe)%Zpo;Lr(Ke61KUwV{tPn&=97nb{x-#W^+Sj`M-F{a)0sIQO-SW{^Rdk?k_JM<=oTe zuiUoWU!6J1xu?zl)s^M0UOLLTr_KNM+m`#Ab4NM%wE4gJ;&Olcz){XUZT=JQT<-5K z9Oc~8=0EwI_q6#(U$Wezj~(UQ)8;?_zU3afc$9NboB!9_mixk)qnvx%{1>h)_xPowoO{~* zzrAg_e?NDWb5EQ9_b)E@!~;h;_q6%{c;|9oyl|9rPm90qX`g<|bN=l=kNx!5kN*4r zGWOGPPsj6XeSW*{=|8jFSDZh}xu?y4<X(+g{`RAsd)oXnUb5UX z9y`jpr_G;v-*R7l@hIn>Hvi1qmb>B1QO-SW{)Q{dea)q#oO{~**S>AJXPrCBxu?xP z>x;`h`+=jJd)oYS-nrb@T{z0Qr_De2ImY`JfK{!z|7ZT_1-z1$0a{V3<2HvcU@v)qm6k838N%DJb_zvLy$z2vc@oO{~*x4v(=mtH)|xu?zFblY;@ zcIGJOo;Lq&SC;$sOGi2PwE44dTkbo~9p&89=D*{M%bk1RDCeFw|DEq#?qwH_a_(vK z-}Rj3zUz}mIrp^r@BY>0zUQZoa_(vKFMs87ulUlVoO{~*D?YT`D}UiA=bkqIy+5|x z&Cfr|xu?zF{ORRh_3KAD_q6%%`+Sj`CH$&+z(tl%DJb_|KM%Qz4pve&OL4ZwO5vV-KC?Pd)oZ#-?rRs z=Z+Sj`LDfixo5rkDCeFw|LkWi_v}v`<=oTepL73mU-y$oIrp^r=e~Toum6&xoO{~* z*FU)2H~joj&OL4Z8-H}U=RNNz=bkqIyoZ+Sj`8VFb+?#&#DCeFw|3fcd z?uWnRDCeFQf8%ej_ulwB>+8%8H}7fl?_ck|@%<0Z_s??fY4gAP^UFQ(qenUSwE5qA z-g3Y9@KMe^ZT?r4+HvfkoS?H6jV>ElN^_q6$ke`UEx?l{W1r_F!*>~f!e;wa~yHviA>U+y#a9p&89 z=0E%9<^JVaM>+Sj`G5Jua-X~ZDCeFw|L9LH_xYC}<=oTeAN!K!9((X8=bkqIuRp)s z7k>08=bkqI`16)~{NbaVd)oZJ{n~Q>{?kV}_q6#ZZdvX>zU(OHo)$lM!bksl?T6{- z|L?UQrsH1sL(YDfa`wZtc{?F@{k=yy_q2ICA#XoSIrp@AJ0WL3OgZZm_B;L+7HululpfqKTJ9MVcNW%kh}igqnvx%yq%D@ zAEump+Ps~Rvmd6Md)mC6kh|gRQO-SW-cHEd4^wXLil;VjC*{V?U-)8_4joc%E6=B{{Z^L9ecewgy^ zY4dhM&VHD3b5}gIc{?FzKTLV|w0S!rXFp82xhtO9yq%Dztln^Z%cALe74ea&uQawY~rB zeaqPm`MDzw=kDvAo?r9*c0$g6m~wMhJhi>wPRQ90Q{Fvo-cHEb4^wXLil;VjC*=%DJb_+X*@QVam;2 z@zmz+gq-~_<=xZf?S!2DFy-9S=Iw->{V?U+)8_4joc%E6=B{{Z^L9ecewgxeS3I?O zJ0WL3O!>Jhp4z;fkh34AynEWboshF1rrg{WPi@{#$k`85-aT#JPRQ90Q*Q2xrxrhV z!ts3Whw1QZS3I?OJ0WL3O!>Jhp4z;fkh34A{M;2!ZQf4E*$-3RJ#F4j$k`85&OL43 zPRQ90Q_ekY-cHEb4^wXLil;VjC*=%FSKz)aLDkoc%E6=dO5a^L9ec zewgxeS3I?OJ0WL3OnLXTc{?HZ$Q?(yxhtO9yq%D@AEx}=6;Ey6PRQ90Q-1D>r#5dV zJho?86e4?p`~*M6A(%m23a!*txQ z`SYipkh34AoO{~5oshf!-lLp*+Ps~Rw;!gQd)mC6kh34AoO{~5oshfX>`~4=ZQf4E z+YeLDJ#F4j$k`85&OL43PRQ90Q_ekY-cHEb4^z%PZQf4E*$-3BJ#F4j$k`85&OL43 zPRQ90Q_ekY-cHEb4^z%PZQf4E*$-3BJ#F4j$k`85&OL43PRQ90Q_ekY-cHEb4^z%P zZQf4E*$-3BJ#F4j$k`85&OL43PRQ90Q_ekY{-!&Yvm5ftxu?zB2|4>=%DJb_pS^E6 zyCJWfd)mC6kh34AoO{~5oshF1rks1)yq%Dwo_q2ICA#XoSIrp@AJ0bV@!$&#yw0S!rZ$C^q_q2IC zA!k2KIrp^qxgUP!rnMjLKEC$D&!ji?{gAUC?ha=^Zr;=4?S!2D zaCf+QPm8w`a`waB;pROp-cHEb4|j)~_q2FBA!k3_9d6#!;_ZZ-{cv}Dw-a*q!`b|DHP`XFuE>&VIDw-fUA!` zZr;=4?S!2DaCf+QPm8w`a`waB;pROp-cHEb4|j)~_q2FBA!k3_9d6#!;_ZZ-{cv}< zc~6VC6LR*$-QngvE#6MZ*$;PzoAZr%DJb_+X*@QVamCu&D#k%`(ettr_I|5Is0MCxu?zB2|4>=%DJb_+X*@Q zVamCu&D#k%`(ettr_I|5Is0MCxu?zB2|4>=%DJb_+X*@QVamCu&D#k%`(ettr_I|5 zIs0MCxu?zB2|4>=%DJb_+X*@QVamCu&D#k%`(ettr_JAV$8vTdmvmfpbXFud;i?Dw-a*q!`Zr;=4?S!2DaCf+QPm8w`a`waB;pROp z-cHEb4|j)~_q2FBA!k3_9d6#!;_ZZ-{cv}nE ze(r?B&HZrqV{1PgpFi{bTL1n%cS6p7xI3Kvke@B@w-a*q!`Zr;=4 z?S!2DaCf+QPm8w`a`waB;pROp-cHEb4|j)~_q2FBA@|S|PwH^Dw-a)oyZ=cYZr;=4?S#DjaCf+QPm8w`a*sXuqz*Ul zY4LVK-hQ|{+`Om7+X=bHAAVAYoAn?dN{@Pyh4U z57UQ#VC{$LxL@n@*W3p=`(eu24b$fBgxvM_9_8HA=Iw;M{V?U+)8_4joc%E6+|%am zgxn2hk8{V?U+ z)8_4joc%E6+|%amgq-~_<=oTe?S!2DFy-9S=Iw->{V?U+)8_4joc%E6+|%amgq-~_ z<=oTe?S!2DFy-9S=Iw->{V?U+)8_4joc%E6+|%amgq-~_<=oTe?S!2DFy-9S=Iw-> z{V?U+)8_4joc%E6+|%amgq-~_<=oTe?S!2DFy-9S;^#g%p3nU-9d6#!=Iw)={V?U+ z)8_4joc%E6+|%amgq-~_<^F%Z>=@+MG;Gf@q)Zu@X3CT)2X>P(rKM%cl)`ROrWB?T zrb(I7QkW)XNxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w{rdU6 zoO`>xPRQ$rE$7}YuM=|mVavI<%j<-ke%NyE?eaPy_uG%}<=orlbwXZ0Y&rLKd7Y5^ z{ipYG?(OnAA+H~{oO`>xPRRZ7%X>NZc6ptU*AH9Hy24_i(@Y?s#wxo^IIFX!GauM_h6VavI<%j<-ke%NyE?eaPy_wA?m za_;T&Iw7wgww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwp zE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp%I_xZ!=hP>t6+vRmaPCslp_jdVD zKYTddkhh$BySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`yc zF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n z-Y%~ba{6J*xwp&fgq(iZa_;T$w-cV{w;#60-Fv&dPRQwpE$7}YuM=|mVavI<%j<-k ze%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w z>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&IwAM-pYP?|+vRmaUO#L(_jY-mkkb!a&b?h; zC*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wDyxPRRZK(|bAhc6ptU*AH9Hygn<=orlbwW-*Y&rLK zd7Y5^_S1Vg_jY-mkk=1e?smo3F0T`E`eDo8uK3#JbwW-*Z28+2U%R|c$mxeI@7^x2 z6LR`t%iXT{+U0dZPCsmU_jY-mkkb!a?smo3F0T`E`eDnvx6A8x7(s*z)e}@;V`>AGX}>imzQ>C*<_QmUnNL*9kfOu;p%7 zeC_hzeg1H|A%8pKaqd3n_57=UKXpP*KWw?%6<@pF|LKPhryKIOBOd4Ob6(HC`hJ~| z(+^wjcE#7O_v?h5e%SKv?eaPyrysW5?TW8mUMJ-A!x7(s*mCae@;V`>AGX}>imzQ>C*<_QmcL!`wae>-oPOByw=2GOd7Y5c4_n^7U0x^T z^uw0BUGcTc>x7(s*z)e}@;V`>AGX}>imx61cEa=g_QUr0kFNOI<#j?%KWzEi6<@o& zPRQwpEq}Y>YnRsvIsLHZ-P`4LLQX$yIrnyXosiQHTh6^*UMJ-A!4z=v-Y%~bazFq1 zUha0q*DkLU^7>)RySK~hgq(iZa_;T&Iw7YYww!yryiUmJhb?!z;%k@J2|4|+&%D<#j?%KWzEi6<@o&PRRZC<9m7cc6ptU*AH9ncE#5& zuM={=|MXt|cE#5&uM_h6VawmH_}b-lLhg@W-pk*v_}b-lLS8>?`P&s=ySz@w>4z8=iV-_6Y~0D%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n z-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%OI1pFf;# z$Xm|6U0x^T^uv~OZx7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T& zIw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}Y zuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iUx~JK=eL`(bHjgq(iZ za_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwp zE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LLTQ`CiVwU0x^T z^~08PZ z)Rxwp&fgxv2xy_a)um)8k-{jlZS+vRma?vG#I%elA9>x8_1 z*mCae@;V`>AGVx(JN)g3|M%be=!fmU`>P-Qus!$L4>|p?-oPOByw=2GOd7Y5c z4_p3r#n&#c6LR`t%e%MB>x7(s*mAckzIJ(?kkb!a-o0I3C*<_Qmb+c?wae>-oPOBy z?(OnAA*Ua<-0h05U0x^T^uv~SZAGW-EySz@w>4zc|HH?-%p*8(+^wjcE#7O_ka4~ z!|8_n?TE*@`<&PFufAU=-{<*rysVwd%L_&$mxeIce~x7(s*mCae@;V`>AGVx(ySz@w>4zAGW-EySz@w>4z&%D;cq|uKmXxJKWzW6zxUA(+jIZw|DQS`rysVQd%L_& z$bIwudpY-Zd7Y5g4_nT?U0x^T^uv~OZnn-Y%~ba{6J*xwp%I`r*UrhP>t6+vRmaPCslp_jY-m zkkb!a&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wDy)Rxwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E z`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPy zrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V{+>*x1!?(OnAA+H~{oO`>x zPRQwpE$7}YuM=|mVavI<%j<;PZ$G}5b8nZ|33>gn<=orlbwcjxA4l-+!^k-FrK{PRQ$r&mMR0?eIDwryo9h+`YHM>xA65pT5}R?!6se zC*<|RXOFx0c6gnT(+{6L?%vzsbwW-*eD=6|Z->_jIsNe24(oAckk`+pMLmox*>n}xO;Dh*9kfO@Y&<;y&YaB_jIsNe2~Z=be|NlJ zC*<_QXOFx0c6gnT(+{6L?%vzsbwW-*eD=6|Z->_jIsNe2_jIsNe2N$mxgA9(V8U@H!!N z$m@sC9(V8U@H!#)`%ho&arfR1uM_h6;j_oxdpo>N$o=ul7kk{jx5Mj%ynguXarfR1 zuM=|m;j_oxd;4{N`{Dob_doh!`)~gCM?Y-O{cGR9ZXe|I!x8_1*mCae@;V`>AGVx(ySz@wef#OXoO`>xPRQ$rE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n z-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_ z6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T& zIw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZ za_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRRZI z=X*K#c6ptU*AH9Hyfi;sTzuiL+}`yr4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1 zuM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{ zPRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3$K887{CA%}oNmb9J?`Gy z;dMezKYaGMdvAyT^uveK4f(sr-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_ z-VU!5a{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?g zJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U z@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM z>x7(s`0R1_-hSQRPI%nyhtK}SM?XB@KYRYQ-@k7s4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1 zuM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(KmYl~9(V8U z@H!!{A3l5By|=^bgq(i(>~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM z>x7(s`0R1_-VU!5a{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY# z6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM={=e*R*QyZ3f@ zosicLpFQs0+u?OWPCtD1xO;Dh*9kfO@Y&<;y&YaB_CZcRY&qSqU0x^TzWM&WoO`>xPRQ$rE$7}Y zuM=|mVavI<%j<;Px1Zk2xwp&fguH&(a_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDnt zx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQ zd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y);$=MSeF z@|JUNm)8k7{jlZS+vPv~@Zoer-g55k@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&f zgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8x zPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9 z>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwpgLK6swre%Ky&@9pyXAg3R; zoO`>xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t z%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgxt@6zL#@vm)8k- z{jlZS+vRmaPCslp_jY-mkkb!a&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU z(+^wDyc6ptU*AH9HyH{jmLC|Fe&N*q;0Bhn#-ca{6JryiUk{^Zk1{_jY-m zkk=1e&b?h;C*<_QmUC~H*9p0AKfRZ8Z z8~@I1f$us!bH z+vRmaPCslp_jY-mkkb!a&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wD zyx7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJ zhb`ycF0T`E`eDntx6A84z=n-Y%~b za{6J*xwp&fgxqgGzL#@vm)8k-{jlZS+vRma?)RVG%elA9>x8_1*mCae@;V{+$1m^Y z+}q`KLS8>?IrnyXosiQHTh6^5{`SNF zYnRsvIsLHZZ&!Tn@;V`>AGW-EySz@w>4zx7(s*mAckzIJ(? zkkb!a-o0I3C*<_Qmb+c?wae>-oPOByw=2GOd7Y5c4_n^7U0x^T^uv~OZAGW-EySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZax7(s*z)e}@;V`>AGX}>imzQ>C*<_QmcL!` zwae>-oPOByw=2GOd7Y5c4_n^7U0x^T^uw0BUGcTc>x7(s*z&h4zIJ(?kkb!a{&vOJ zF0T`E`eDnvx6A8<+^?VC%iXT{+U0dZUO#O4+ZA8CyiUmJhb@1*;%k@J2|4|+A)d->ZHU%R|c$m@qKf4kyqm)8loKYn>H zf4kyqm)8k-{jlY4SA6aAIw7YYw*2jiuO0sO!~gj||LBM9zx+==`eA$SU;Y16C*<_Q zmUC~H*9p0AzJD+0-Y%~b^7>)Rxwp&fgq(iZa_;T&IwAM%r}uL1?eaPyuOGIYd%L_& z$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&f zgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8x zPRQwpE$7}YuM=|mVavI<%YXOz!|8^+<=orlbwW-*Y&rLK`AxPRQwp zE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJ zhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-k ze%NyE?eMn~p69n8w#VIjySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yr zyiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI< z%j<-ke%NyE?eaPy_w%3c<=orlbwXZ0Y&rLKd7Y5c4_nT?U0x^T^uv~OZx8_1`0R1_-VU!5a{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh z_udY#6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_ox zdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0 z?eO1y{&2b>fA_e1Z->_jIsNe2~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3 z$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oA zckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-Fy3We>>rEw;w+H$45Ur-#>f)wco#QC*<_QXOGhl z`Mcx&Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_ z-VU!5azFq1#U6L>?eIDwuOB{p+`YHM>x7(s`0R1_-VU!5a{A%3$K887yiUmJhtD2& z@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~ zx5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r z4zCk(zkdE=kGuDFc%6{f51&2m-rM1ILQX$?_PBd*ht~-?{qWi2?!6seC**$n@rym~ z-rM1ILS8?7_PBd*ht~xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPy zrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~b za{6J*xwp%I_xZ!=hP>t6+vRmaPCslp_jdVDKYTddkhh$BySz@w>4z=n-Y%~ba{6J* zxwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t z%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T$w-27@ zw;#60-Fv&dKFH~ZE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_ z6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T& zIwAM-pYP?|+vRmaUO#L(_jY-mkkb!a&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVo zc6ptU(+^wDyxPRRZK(|bAhc6ptU*AH9Hy z4(oAckk`+IwAM%r!V%ndvAx=33>hS z+2iiL9bPBo^uuS5yZ3f@osiQHpFQs0+u?OWPCtD1xO;Dh*9kfO@Y&<;y&YaB_jIsNe2_jIsNe2_jIsNe2 z4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA z9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDw_w%1$ z>~Z(r4zCmP`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3 z$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oA zckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!#)>*p`_ zxO;Dh*9m$3@Y&<;y&YaBxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_& z$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp%I z_xZ!=hP>t6+vRmaPCslp_jdVDKYTddkhh$BySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZ za_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwp zE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T$w-27@w;#60-Fv&d zKFH~ZE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9 z>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&IwAM-pYP?| z+vRmaUO#L(_jY-mkkb!a&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wD zyxPRRZK(|bAhc6ptU*AH9Hygn<=orlbwW-*Y&rLKd7Y5^_S1Vg_jY-mkk=1e&b?h;C*<_QmUC~H*9kfO zu;tv_<#j?%KWsVoc6ptU(+^wDyAGVx(yZomgKAdjITh6^*UMJ-A!4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yr zyiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI< z%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LPxPRRZC<9j*xc6ptU*AH9Hy$mxeIrysV< z>xA4l-@li0Z4z=v-Y%~ba{6J*-LClB<#j?%KWusTc6ptU(+^wj zcE#5&uM=|mVavO>%j<-ke%NxiE53GlosiQHTi(50UMJ-A!e>>uF?mp-B{HuRIbwW-* zY`NPNU%TG_>4y)e8}hd!9_Q|JUeCY!ew~oh4_oeb#n-O)>x7(s*z)e}@;V`>AGX}> zimzQ>C*<_QmUnNL*9kfOu;p%7eC_f&A*Ua<{OyXbU0x^T^uv~SZ4z=v-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYw%qNCuU%dz-oPOByw=2GOd7Y5c4_p3r#n&#c6LR`t%ipf} z+U0dZPCsn<+ZA8CyiUmJhb`~kF0T`EKmYk&?smo3F0T{v`eDnvx6A8xPRQwpEqA-(YnRsvIsLHZZ&!Tn@;V`>AGW-EySz@w>4zAGZAMimzQ>C*<_Q zmcL!`wae>-oPOBy?(OnAA@}R&_j0!@zIJ(?kk=1e{&vOJF0T`E`eDo8uK3#JbwW-* zZ28+2U%R|c$o=-?dwKVEd7Y5g4_oeb#n&#c6LP=*^j`jU#n&#c6Y~0D%ipf}+U0dZ z?vG#I%ipf}+U0dZUO#O4+ZA8CyiUmJhb@1*;%kS${qUdsvyXn*{&)Z2qaU{C{?-3K zbwW-*Y&rLKd7Y5^=KJ?@?(OnAA+H~{oO`>xPRQwpE$7}YuM=|LetIwG-Y%~b^7>)R zxwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t z%elA9>x7(s*mCae@;V`>AGVx(yZm>bKb&sJTh6^*UMJ-A!x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yr zyiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI< z%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx( zySz@w>4z=n-VT2|;dy@hVSC)Yx6A8xPRQwpE$7}YuM=|m zVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`> zAGVx(ySz@w>4z=n-Y%~bazFq1Ue3K;UMJ-B!x7(s*mAckzIJ(?kkb!a-o0I3C*<_Qmb+c?wab6^`NQdk z{OyRxx%-^g^RNE>)CoELu;p%7eC>Mwryo9?Zphz`c$~Y>c|HH?`*lK2KWw?%6<@pF zuM=|mVavO>%j<-ke%NxiE53GlosiQHTi(50UMJ-A!x7(s*mAckzIJ(?kkb!a{&vOJF0T`E`eDo8uK3#JbwW-*Yx7(s*mAckzIOQA3D5J}58LBEy5eh>*9kfOu;p)8eC_f&A*Ua<{OyXb zU0x^T^uv~SZ&%D<#j?% zKWzEi6<@o&PRQwpEq}Y>YnRsvIsLHZZ&!Tn@;V`>AGW-EySz@w{ru;9x!VxV7x-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYw%qNCuU%dz?`P&s= zySz@w>4zYnRsvxj%k+FMqq@YnRsvdHt~EZ&!Tn@;V`>AGZAMimx61_QU_? zAAa=1_P_mmAN{aB_pko{sS|SgVavI<%j<;PH{ZXPb8nZ|33>gn<=orlbwW-*Y&rLK zd7Y5^_S1Vg_jY-mkk=1e&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wD zy zAGVx(yZomgKAdjITh6^*UMJ-A!4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8< zoPOAH?(OnAA*UaxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_& z$mxeI=iV-_6LPxPRRZC<9j*x zc6ptU*AH9Hy?eIDwuOB{p z+`YHM>x7(s`0R1_-VU!5a^HUXVvoD`c6gnT*AJgP?%vzsbwW-*eD=6|Z->_jIsNe2 z;lt^M z{N3a3y&YaB_jIsNe24*H?@qV3<(+{6L?%vzsbwW-*eD=6|Z->_jIsNe2 z_jIsNe2N$m@sC9(V8U@H!!~Z(r4zCk(zy0{d9(V8U@H!!{A3l5By|=^bgxv2xeX+;gdpo>N$m@sC z9(V8U@H!#)$1h*(arfR1uM_h6;j_oxdpo>N$mxgA9(V8U*Zu8>|JC3B=!flp{kK2* zVSDah`~G$NAg3R;oNm}IuM=|LeE(j~yx7(s*mCae@}GYA zaJnIHIrnyXosiQHTh6^*UMJ-A!&J5c6oh}(+^wDy%elA9>x8_1*mCae@;V`>AGVx(ySz@w z>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8< zoPOAH?(OnAA*UaxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_& z$o=~Hy_|cyyiUmLhb`ycF0T`E`eDntx6A8~Z(r4zCmP`r)(3-FrK{PRQwp z&mMR0?eIDw_wA=I_PBd*ht~;t{qWi2?!6seC*<_QXOFx0c6gnT(+{6L?%vzsbwW-* zeD=6|Z->_jIsNe2_jIsNe2;87a<8D8E_AfsA;ragA z^RNB>eLEqiA3l4We#qY)@7D=A{qWi2?!6seC*<_QXOFx0c6gnT(+{6L?%vzsbwW-* zeD=6|Z->_jIsNe2hS+2iiL9bPBo^uuS5yZ3f@osiQH zpFQs0+u?OWPCtD1xO;Dh*9kfO@Y&<;y&YaB_jIsNe2 zN$mxgA z9(V8U@H!#)+mB!DarfR1uM_h6;j_oxdpo>N$o>A)7kk{jx5Mj%ynguXarfR1uM={A z{PM*fckk`+Iw7wgK6~7~x5Mj%oPPN1arfSS-QRxrU;N#Ve%St(fAgasw&(t}?_akM za{6J*>4xp{IwAMX_wVK0+vRmaUO#L(_jY-mkkb!a&b?h;C*;2U^j^-rU0x^T^~08P zZxPRQwpE$7}Y|LKPhryKH?b8nab z|M{{*SliRMJx7(s*mCae@;V`>AGVx( zySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`EKmYk& z&b?h;C*<|RmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wDygn<=orlbwcisU*5~P zx6A8nn-Y%~ba{6J*xwp%I`r*UrhP>t6+vRmaPCslp_jY-mkkb!a z&b?h;C*<_QmUC~H*9kfOu;tv_<#j?%KWsVoc6ptU(+^wDyB z-+tI0ckk`;Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8x zPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9 z>xA6Tf4-M4z=n-VT5J;lKQwAN{cXSKog0!}i=~KjieomeUX0 z<#j^toA2Mtxwp&fguH&(a_;T&Iw7YYww!yryiUk{`{})$d%L_&$m@qKce~x7(s*mAckzIOTVK7TmfkiQ-AICnqi_5Ri0pE@C@ zAGX}>imzRt|LKPhryKIOBOd4O=e*v(`uRE`rysW5?TW8mpRW^g`eDnvx6A8x7(s*z&h4zIJ(?kkb!a-o0I3C*<_QmUC~H z*9kfOu;p%7eC_f&A*Ua&%D<#j?%KWusTc6ptU(+^wjcE#5&uM=|mVavO>%j<-ke%Nxi zE53I4+X>J6?T790A6@aa%j<-ke%SK2E53GlosiQHTmE*%*DkLUa{6J*ySK~hgq(iZ za_;T&Iw7YYww!yryiUmJhb?!z;%k@J2|4|+x8_1*mCae@;V`>AGVx(ySz@wef#OXoO`>xPRQ$r zE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJ zhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n z-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xV7p-Y%~ba{6J*xwp&fgq(iZa_;T& zIw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}Y zuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>xA5|pWn;5 zx6A8xPRQwpE$7}YuM={={rFzay?eIDwuOB{p+`YHM>x7(s`0R1_-VU!5 za^HUXVvoD`c6gnT*AJgP?%vzsbwW-*eD=6|Z->_jIsNe2;lt^M{N3a3y&YaB_jIsNe2_w9t7 ze)#Nh`XPUJe7;V|>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N z$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDw zryo9h+`YHM>xA6Te}1vY-FrK{PRQ$r&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5 za{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF z>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!!< zA3l5By|=^bgxs&6zu4pMy&YaB;Cq`|MTyE^uzZ5`r9A)Rxwp&fgq(iZa_;T&IwAM%r}uL1?eaPyuOGIYd%L_&$mxeI z=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZ za_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwp zE$7}YuM=|mVavI<%YXOz!|8^+<=orlbwW-*Y&rLK`AxPRQwpE$7}Y zuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae z@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`yc zF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eMn`p7+}i+vD!NU0xsL^uv~OZxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_ z6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba=(6lFX!GauM_h6VavI< z%j<-ke%NyE?eaPyrysVQd%L_&$o=-?dpY-Zd7Y5g4_nT?U0x^Te*fvcoO`>xPRQ$r zE$7}YuM={A{PJGTy_jIsNe2~Z(r4*%(g52qXQcaOXGc6gnT(+{6L?%vzsbwW-*eD=6| zZ->_jIsNe2_jIsNe24(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1 zuM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{ zPRRZI=NEh2y|=^bguH(E>~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM z>x7(s`0R1_-VU!5a{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY# z6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N z$o=~Hi#_h%+u?OWUO#;HxO;Dh*9kfO@Y&<;y&YaB4v=J+}q`KLQX$yIrnyXosiQHTh6^* zUMJ-A!4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJ zhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<;P z&wsv`b8nZ|33>gn<=orlbwW-*Y&rLKd7Y5c4_nT?U0x^T^uv~OZx8_1*mCae@;V{+`%mxX+}q`KLS8>?IrnyXosj$E zm-lk+?eaPyuOGIYd%L_&$mxeI=iUx~`{6(RcOU(*{onuDM?Y-OefC36KWsVuuw7m! zx8_1*mCae@;V`>AGVx( zySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDnt zx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQ zd%L_&$mxeI=iV;=-RBRd8}gQOZx7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&f zgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8x zPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA1 z-%fbmZ$E60yZ3f^osiQHTh6^*UMJ-A!xPRQwpE$7}YuM=|mVavI<%j<-k ze%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w z>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmd`uV+_d%L_&$m@qK=iV-_ z6LR`t%elA9>x7(s*mCae@;V{++mG+%+}q`KLS8>?IrnyXosj$er}uL1?eaPyuOGIY zd%L_&$o=uldpY-Zd7Y5g4_nT?U0x^T^uv~OZ->AA@PGSTAN{cXr+@vUAGYT{`yr?x!V4z4z=v-Y%~ba{6J*-LClB<#j?%KWusTc6ptU(+^wjcE#5&uM=|m zVavO>%j<-ke%NxiE53GlosiQHTi(50UMJ-A! zx7(s*z&h4zIJ(?kkb!a{&vOJF0T`E`eDnvx6A8x7(s*z&h4zIJ(?kkb!a-o0I3 zC*<_QmUC~H*9kfOu;tv_<#j?%KWw?%6<@o&PRQwpEq}Y>YnRsvIsLHZZ&!Tn@;V`> zAGZAMimzQ>C*<_QmcL!`wae>-oPOBy?(OnAA@}p2@8xb+eC_f&A+H~{ynDO6PRQwp zE$7}YuM=|mVavI<%j<-ke%NxiE53GlosiQHTmE*%*DkLUa{6J*ySK~hgq(iZa&%D z<#j?%KWzEi6<@o&PRQwpE$`kguM={=ets`^yW(q?*9m$3u;p)8eC_f&A*Ua<{OyXb zU0x^T^uw0FUGcTc>xA5IKfafDZC*=P4<-PpvimzQ>C*<|RmcL!`wae>-oPOByw=2GO_}dTv$^ZA!58MCsKmF*3 z?YV#T?@yhO(+^wDyx8_1*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yr zyiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI< z%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV;=-RBRd8}gQOZx7(s*mCae@;V`>AGVx(ySz@w z>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8< zoPOAH?(OnAA*UaxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_& z$mxeI=iV-_6LR`t%elA1-%fbmZ$E60yZ3f^osiQHTh6^*UMJ-A!xPRQwp zE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmd z`uV+_d%L_&$m@qK=iV-_6LR`t%elA9>x7(s*mCae@;V{++mG+%+}q`KLS8>?IrnyX zosj$er}uL1?eaPyuOGIYd%L_&$o=uldpY-Zd7Y5g4_nT?U0x^T^uv~OZ->AA@PGLa zKKfz%PyW4+e%PM-?1!9w*mAckzIJ(?ko)HQ_ww%T@;V`}AGVx(ySz@w>4z=n-Y%~b za^HS>FX!GauM_h6VawgF_}b-lLQX$y`P&s=ySz@w>4zx7(s*z&h4zIJ(?kkb!a-o0I3C*<_Q zmb+c?wae>-oPOBy?(OnAA*Ua<-0h059sYL0^M3nbd;CXNeC_f&A*Ua<{OyXbU0x^T z^uw0FUGcTc>x7(s*z)e}@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*-LClB<#j?%KWzEi z6<@o&PRQwpEq}Y>YnRsvIsLHZZ&!Tn@;V`>AGZAMimzQ>C*<_QmUnNL*9p0w|9mfZ zyW(q?*9m$3u;ty`<#j?%KWsVoc6ptU(+^wDy%j<-k ze%NxiE53GlosiQHTmE*%*DkLUa{6J*->&%D<#j?%KWusTc6ptU`}OmCx!VxV6WyW(q?*9kfOu;p)8eC_f&A*Ua<{OyXbU0x^Te*5vgynDO6PRQ$rEqA-(YnRsv zx!-?!FMqq@YnRsvdHt~EZ&!Tn@;V{+$1m^YZ&!Tn@;V`}AGZAMimzQ>C*<_QmcL!` zwZq?j_>cebM?Y-;=l}SlAGYWI)xSS=LQX$yIrnyXosj$H`}cD0?eaPyuOGIYd%L_& z$mxeI=iV-_6LQ~vdN1eRF0T{v`eDntx6A8xPRQwpE$7}Y zuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae z@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yr{CA%}oNmZl z&b?h;C*<_QmUC~H|MbI$(+zpcxwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E z`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPy zrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~b za{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`yc4u3o0dB6RzJ?`Gy<#j?%KWsVo zc6ptU(+^wDy=iV-_6Y~0D z%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YY zww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|m zVavI<%j<-ke%NyE?eaPy_v`2Pa_;T&Iw7wgww!yryiUmJhb`ycF0T`E`eDntx6A8< z+;2a=mve8I*9m$3u;tv_<#j^t_n+R&xwp&fguH&(a_;T&IwAMRFYo2t+vRmaUO#L( z_jY-mkkb!a&b=M}_QQYl*FO5;v;X#^AO55EukC)w>4(oAryufnht~4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1 zuM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{ zPRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VXoW=MSeF@^_EB_jY)lkkb#JJ?`Gy z;XnQG;dDd(?s50t4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_ z-VU!5a{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?g zJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U z@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YG7 z_qP)scl+V9|MsIFp6{Q%f9?O@w-a*u;j_o-hy2~~`8pw|A3l5By|=^bgq(i(>~Z(r z4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3$K887 zyiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LLTQ`NbZ0@9pq9A+H}kd)&RZ z!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1 zuM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{ zPRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a=(85VvoD`c6gnT*AJgP?%vzs zbwW-*eD=6|Z->_jIsNe2_jx!-^KVvoD` zc6gnT*AJgP?%vzsbwcisU%uGm?!6seC*<|RXOFx0c6gnT(+{6L?%vz4``Zuy$N%J` zAGZI~fB4Z4+jIZg_pjRrIsLHZbi;Oeosj$H`}cD0?eaPyuOGIYd%L_&$mxeI=iV-_ z6LQ~vdN1eRF0T{v`eDntx6A8xPRQwpE$7}YuM=|mVavI< z%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx( zySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yr{CA%}oNmZl&b?h;C*<_Q zmUC~H|MbI$(+zpcxwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8< zoPOAH?(OnAA*UaxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_& z$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&f zgq(iZa_;T&Iw7YYww!yryiUmJhb`yc4uAXLdB6RzJ?`Gy<@G^MKWsVoc6ptU(+^wD zy=iV-_6Y~0D%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJ zhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-k ze%NyE?eaPy_v`2Pa_;T&Iw7wgww!yryiUmJhb`ycF0T`E`eDntx6A8<+;2a=mve8I z*9m$3u;tv_<#j^t_n+R&xwp&fguH&(a_;T&IwAMRFYo2t+vRmaUO#L(_jY-mkkb!a z&b=M}_QQYpS3dgTv;X>|AO6Gkuk3!v>4(oAryufnht~4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_ox zdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0 z?eIDwryo9h+`YHM>x7(s`0R1_-VXoW=MSeF@^_EB_jY)lkkb#JJ?`Gy;XnQG;dDd( z?s50t4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3 z$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oA zckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YG7_qP)scl+V9 z|N5gJp6{Q%f9?O@w-a*u;j_o-hy2~~`8pw|A3l5By|=^bgq(i(>~Z(r4zCk(`r)(3 z-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3$K887yiUmJhtD2& z@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LLTQ`NbZ0@9pq9A+H}kd)&RZ!|Q~ce)#Nh z_udY#6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m;j_ox zdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0 z?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a=(85VvoD`c6gnT*AJgP?%vzsbwW-*eD=6| zZ->_jIsNe2_jx!-^KVvoD`c6gnT*AJgP z?%vzsbwcisU%uGm?!6seC*<|RXOFx0c6gnT(+{6L?%vz4``ZuyhyUoKAGSaK`yc(V zJ@>DD|GIsU(+^utH*A;J3At~+e=q0WF0T{v`eDntx6A84z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`yc zF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9fA{&r>4v=J+}q`KLQX$yIrnz?Pd|J( z-H^AOd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~b za{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_ z6LR`t%elA9>x7(s*mCae@V5`1_uCKKxPRQwpE$7}YuM=|m zVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`> zAGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E zzkYr%=iV-_6Y~0D%elA9>x7(s*mCae@;V`>AGVx(ySz@w{r2N~IrnyXosicLTh6^* zUMJ*!|LMJ)d%L_&$m@qK=iV-_6LNq2@?OrpU0x^T^~08PZ4z=n z-Y);?hYzP4@|JUNm)8k7{jlZS+vRmaPCslp_jY-mkkb!a&b?h;C*<_QmUC~H*9kfO zu;tv_<#j?%KWsVoc6ptU(+^wDyHjgq(iZa_;T&Iw7YYww!yr zyiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI< z%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LLTQ`CiVwU0x^T^~08PZ)Rxwp&fgxv2xy_a)um)8k-{jlZS+vRma?vG#I%elA9>x8_1*mCae@;V`>AGVx( zJN)g3|J~pG=!flJeEZQ4+jF1&kkb!aPCsmy*9p0AzJD+0-Y%~b^7>)Rxwp&fgq(iZ za_;T&IwAM%r}uL1?eaPyuOGJD?TW8mUMJ-A!V%wr*mAckzIJ{7ryo9?Zphz`c$~YR^Lqd4 z=j()=e%NxiE53GpzD~&Lhb`~kF0T`E`eDo6uK3#JbwW-*Y-oPOBy?(OnAA*Ua< zoO`>xPRQwpE$7}YuM=|mVawgF_}b-lLQX$y`P&s=ySz@w>4z4z=v-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYw%qNCuU%dz z&%D<#j?%KWusTc6ptU z`}xoJa)R->&%D<#j?% zKWzEi6<<62?T7!(zx?Qj?SK1sKl)*N?qB`;Qzzu~!x7(s*mCae@;V{+?Wgy0?(OnAA+H~{oO`>xPRQwpE$7}YuM=|mVavI<%j<-k ze%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w z>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx66O` z`NQdkyye{6<#j?%KWsVocKJ^~d^p{Zx14*syiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI z=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZ za_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8 z4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`yc zF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LPxPRRZC<9j*xc6ptU*AH9Hy_jIsNe2~Z(r4*%(g52qXQcaOXGc6gnT(+{6L?%vzsbwW-*eD=6|Z->_jIsNe2_jIsNe2 z~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a{A%3 z$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LLTQ`NbZ0@9pq9A+H}k zd)&RZ!|Q~ce)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1 zarfR1uM=|m;j_oxdpo>N$mxgA9(V8U@H!!~Z(r4zCk(`r)(3 z-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s`0R1_-VU!5a=(85VvoD`c6gnT*AJgP z?%vzsbwW-*eD=6|Z->_jIsNe2_jx!-^K zVvoD`c6gnT*AJgP?%vzsbwcisU%uGm?!6seC*<|RXOFx0c6gnT(+{6L?%vz4``Zuy ztH1xz58MCxZ-4Z|_T0br{pxV7p-Y%~ba{6J* zxwp&fgxt5E-pjeS%j<-^e%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s z*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJ zhb`ycF0T`E`eDntx6A8xPRQwpE$7}Y|J~;gryKH?b8nZ| z2|4|+<=orlKmG9GbVJ^9?(OnAA*UaxPRQwpE$7}YuM=|mVavI<%j<-ke%NyE z?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n z-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavIxPRQwpE$7}Y zuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae z@;V`>AGVx(ySz@w{rdU6oO`>xPRQ$rE$7}YuM=|mVavI<%j<-ke%NyE?eaPy_uG%} z<=orlbwXZ0Y&rLKd7Y5^{ipYG?(OnAA+H~{oO`>xPRRZ7%X>NZc6ptU*AH9Hy zN$mxgA9(V8U@SlG8 zaJnIX_qcm+ht~-?{qWi2?!6seC*<_QXOFx0c6gnT(+{6L?%vzsbwW-*eD=6|Z->_j zIsNe2}?S!0u`0R1|A%Ay#zD~&LhtD2&@9pq9A*UZcd)&RZ!|Q~c ze)#Nh_udY#6LR|Dv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%oPPN1arfR1uM=|m z;j_oxdpo>N$mxgA9(V8U@H!!N$m@sC9(V8U@H!!< zA3l5By|=^bgq(i(>~Z(r4zCk(`r)(3-FrK{PRQwp&mMR0?eIDwryo9h+`YHM>x7(s z`0R1_-VU!5a{A%3$K887yiUmJhtD2&@9pq9A*UZcd)&RZ!|Q~ce)#Nh_udY#6LR|D zv&Y?gJG@TF>4(oAckk`+Iw7YYK6~7~x5Mj%+^?U%*yHZK9bPBo^}}b6yZ3f@osiQH zpFQs0+u?OWPCtD1xO;Dh*9p1be*9vOyZ3f@osicLpFQs0+u?OW?)RU**yHZK9bPBo z^}}b6yZ3f@osj$EmoN6XdvAx=33>hS+2iiL9bPBo^uuS5yZ83%{`SNF;_rO)!}jn0 zjgNlVp8MCnf89RF>4z<+8@9{qgxojZzn61wm)8k-{jlZS+vRmaPCslp_jY-mko)%2 zdpY-Zd7Y5g4_nT?U0x^T^uv~OZx7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~b za{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmd{O5Z)_jY-mkk=1e&b?h;C*<_QmUC~H z*9kfOu;tv_<#j?%KWsVoc6ptU(+^wDyb8nZ|33>gn<=orlbwW-*Y&rLKd7Y5c4_nT?U0x^Te*5vgoO`>xPRQ$r zE$7}YuM={=|MXtYyx8_1*mCae@;V`>AGVx( zySz@wef#OXoO`>xPRQ$rE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI z=iV-_6LR`t%elA9>x7(s*mCae@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZ za_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}Y zuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_6LR`t%elA9>x7(s*mCae z@;V`>AGVx(ySz@w>4z=n-Y%~ba{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`yc zF0T`E`eDntx6A8xV7p-Y%~b za{6J*xwp&fgq(iZa_;T&Iw7YYww!yryiUmJhb`ycF0T`E`eDntx6A8xPRQwpE$7}YuM=|mVavI<%j<-ke%NyE?eaPyrysVQd%L_&$mxeI=iV-_ z6LR`t%elA9>xA5|pWn;5x6A8xPRQwpE$7}YuM={={rFza zyBqSj~NR?S@t;GqEQc4|gRwideMMX-jwa&GQsHl{pwdx-cm0D*JCq!$hQ>`N6 zQ0st05h-;lBHs0`b>5T18CJ;ouFrGt?>=kam;LK^zU;NXl@MBq9O|T($D9y(%nwQA zP$#`S=7h*$en=vRI_c#xCq%AtL@SX)o%HgU6C#iKA&H#M6=U@Bm=hw0`5}qC&J|E$seL=N*q5;>hK#^~iSCqxeOLlSw^NiUB%A##`> zlE~>?F-9+sIU#bGACkzUPI`IF36aD6kVH=BiZOb5%n6ah{E$Q*b<)dYPKX@lha_@3 zSB%li4?V?lm>VLmb41CZjz1@MJ>&Beb3)`WKO~XUxnhjo{YPA3Im``_*Eyo(P{*GW zyPom>m=hw0`5}p%&J|_h0 z%VSQ69Oj24aynOx(aU2_h#cmJB=R~}jM2+uPKX@lha~c-lU^QkLgX+%B#}d%^zxV! zB8T}QiJZ5IM{bN#sx`y*%cG$YFj+B8NKZ-hJqfUBx%n6ah{E$RW=ZY~pd7Tr=b)6rQlDD~Hj9wmdLgX+%B$3y- zVvJrMb3)`WKO~XYxnhi79&ZF&)oDez84@u-uC%ru8 zgveojNFt|m#TdOj=7h*$en=v(bHy0FJm!SRVSY#=uXDv1y*%cG$YFj+BCm7B7`;5^ zgveojNFuLu#TdOj=7h*$en=vZI_c#xCq!<|x2;4@=ZZ0UdCUos$NZ2)9(B^oV@`-1 z=7%J5sFPkEb3)`WKO~Vuo%HgU6C#KCA&H#M6=U@Bm=hw0`5}qC&J|E$seL>}`)5;>hK#^~iSCq(Y6=Ua)q&J|5IM{bN#sx` zy*%cG$W@MLC32{fULJEolE|S>dU?zVk;D9uL=JV*%VSQ69Oj24a;TGD z9&Um=hw0`5}oM>ZF&)oDez84@u-uC%ru8gveojNFs+i>E$seL=N*q z5;@dKFONAPa+n{I$e~VpdCUos!~Bp$4t3JY4?V?lm>VJwB8NKZ#h#cyqm&cqCIm{19lE|S>dU?zVk;D9u zL=JV*%VSQ69Oj24a;TGD9&Um=hw0`5}oM>ZF&)oDez84@u-uC%ru8 zgveojNFs+i>E$seL=N*q5;@dKC$Dotxvuj=QgT`+y*%cG$YFj+B8NKZ-hJp-y^v%n6ah{E$Qrb<)dYPKX@lha_^SlU^QkLgX+%B#}d%^zxV! zB8T}Qi5%*rm&cqCIm{195IM{bN#sx`y*%cG$YFj+B8NKZ-hJp-y^v%n6ah{E$Qrb<)dYPKX@lha_^SlU^QkLgX+%B#}d% z^zxV!B8T}Qi5%*rm&cqCIm{195P8fGN#sx`y*%cG$YFj+B8NKZ z ze48JVQg`Qv$YFj+BByi37`;5^gvj-}sFld0PI`IF36aPAkVFo3(#vB`h#cmJByy;e zULJEolE~{^F-9+sIU#bGACk!HTroy3 zk2xW7m>-hJqfUBx%n6ah{E$RW=ZZ0UdCUos!~Bp$9(B^oV@`-1=7%J5I#-O*%VSQ6 z9Oj24@~D$u9&hK#^~LD#1)pq+z@%4BT5c+{5i4f8SjrdA##`>lE~>? zF-GtHm=hw0`5}os>ZF&)oDez84@u;7t{9`2$D9y3%nwQAQ764T=7h*$en=vxbHy0F zJm!SRVSY#=uXDv1y*%cG$YFj+B9A)h-hJ>0B{JFONAP za+n{I$fHhrdCUos!~Bp$4t3JYV@`-1=7%J5sFPkEb3)`WKO~XUxnhi79&5IM{bN#t~{7^9QdIiXzF`5`HJn=8iXlE|S>dU?zVk;D9uL=JV*%VSQ69Oj24aynOx(aU2_ zh#cmJB=R~}jM2+uPKX@lha~bkSB%liV@`-1=7%KmI#-O*%VSQ69Oj24@;XE$seL=N*q z5;@dKFONAPa+n{I$mv`$MlX*!A##`>lE~{^F-9+sIU#bGACkzUPI`IF36aD6kVH=B ziZOb5%n6ah{E$Rm=ZZ0UdCUos!~Bp$UgwH2dU?zVk;D9uL>_h0%VSQ69Oj24aynOx z(aU2_h#cmJB=R~}jM2+uPKX@lha~bkSB%liV@`-1=7%KmsFPkEb3)`cp3+L>bgmeq zm&cqCdCU(<ZDvxJbxzUi%yh{GpsyN>1yfldq_~SaTJ>-l>(6(>m$oi#pAZh7bL3G@x-|EAROt(mE;E zGp&F5{!^kMy(UCevmS2cwFg96C*^vkeAS>$(ctDy(SWM+TDf#{q;*oRXUy+AHrjdY z2T|4Z^>O0QtCBj2>zVSEJ+6*+9(q?)S-P^7`+YysIw{vP<*Rn<7VW%uuc+#*4y}X_ z>ZDxHlpk=%wrJHSH`!yi6MCx7SoXy+eIkozx4;4#qvt&^Ge-+)K{X1Pau zB~Wr&C!IX*vqxhz0C^HV^om{2xPE%Qm-+8O%AdzSH+DT^9-heQ{YlAdoy@%dDtlZJ z?L7Dl+5dlOCB7a|C*^vk`&Uk$9PRXv=~3lbTjSh$Y-7Zt9s*qaqhg!{0Mc@%UADGrQX#)xi8M0cj+CWPI~^$Pd=}? zL3_R!=a3QmM5vQqzVeVU(M|`R6ltEsdZJEBJ>&oWJDQ{KotPgrZ}?3sKQ4@_P$%Vj zrhN1COQL}zKGZy^f1cDy)HCHf6ul62pLj#m;jn3OHjMvOggS}qne&fF#q*~~es-Mt z=M_C}f1VK6Gvx<8A-U^@MJ*jR#o4seTM_CcuBYZ(^!=l1?5)v|D?3J2KUvkvs~aM% zlX5*{e!}~fJE&7DkwcyI@`p59?(ltDi5%*rmp^KnE*G0mp*0dNB;IbTR&3j?)o8z^&^qP`jK89>x3NEk3E*Fb$YK3R0!-Urn|3Bc<-HA97ef5;?3N>E*Fb$YK3RZF&)Iw6PkBauU$^zvB0SsiWt$e%aZ`jJw1*AF?YABh~+kM#0bC*-hxByy;e zULNa&9M+FS4t3JYW1W!0`jNFa8`jIo=v-KmT?yetlSU(autRLy+u};Wg z{Yd0cC%rt@2|27Ei5%*rm&ZCGhxH?oL!I>USici5u=OLS-(u@WO5I&QE*G0M|HIIBPTW3`jJw1 z*AF?YABh~+kM#0bC*-hxByy;eULNa&9M+FS4t3JYW1W!0`jNUSicDu z*!qzN-eT)VO5I&QnP2uznx3NE zk3qko6T|eZoek5{OKhn!%osh%&k;tJ=dU>o9a#%kSIn+rn zk99&0>qjDoI_c%Hem{K5){h+Zo~<7#b$9)c!}^iPVf{!ik99&0>qjDoI_c%HPRL>X zNaRo_y*$sv}y>0!-s;Rbq zq}1K@Lk{alB8T-Oy*$U zf4SFktN+nTU*k{OL|B=X{PC9wrXT86$ z{YO^yvi(O&J=6N>K0^-sk3@(!B|48IeC%ruO8FJWvByy;e zULN}lIqW|YIn+rfuluk2{kH$e(pPQ&ky6jJe!9<)!~P?Y!~P?^JoXuK*ncE)sFPkE z`wThkKN30ANiUCmh8*@Ei5%*rlh^%MaER?cviNMEw0)6`W=Jk1W2{_8%$rOzWrn3_0vS z5;^QY(#vC?A&31(B8NKZ<+0C@!~P?YL!I>U*k{OL|B=X{PC9wrf1P{V{v*5YV*8Jj zdZzW$eTE$NABi0HAL-?>&yd6ZBauU$^zzte$YKAH$e~VpdF(Ufu>VNpP$!+d?!V3> zZ2ys654QbBNnZLjJ6BX{obmF>S6QO~q~*k_uP{YPpZ`w#ip^^AGhXPT4!M`{lH5Bb>j zjCt8-nv?xUYEJ4T>KXI0&on3dkJKFYAMPK!o+*$0x6`&SbpMe%=k&7u7bEH!^Rmx0 zC;N}oJoX>*vFjQ0vd=Up`;XL|)JfDc=4GF0PWB(EIqW~&KXyH1UiO*hWdD(x!~R1) zc0E&G_utpo+x{cJc~JM?PNdW`=CQw!!~P?YL!I>U*k8zD|B=X{PI`IlKjg6gNaRo_ zy*&08a@c<)a;TF|UiaVE_uKv>zj;;n-%g~|Gp(QQFXXWQNaV2ZNU3McWB(zC{YN5) zIw|#xdF(Ibu>VNpP$#9HF^~O)9QGfH9O|UhGv#&vZ9c^IANj@Ew*N?}XUt=tA&31( zB8NKZ<+0C@!~P?YL!I>U*k{OL|B=X{PI`IlGvu)UNaRo_oxJY9&1c#EBfq%T_8%$r zOzWrn3_0vS5;^QYQtBD=*k{OL|B=X{PD(vv9{UVA>^~AY)Jdsl%wwM+hy6z)hdL?s zjQL0Qi@x{vx3Yf6xANUSvVKx0<$A{alk#)o$gjGsmB^t^dilTn#d51ZY$bB2lV1LX zE|&Xy|5hT0I_c$K+0SyX9p6giP$#`S)@kjdwtnOrZ`t~hQg_!6IjkRv9M+GNdd57~ z2|27Ei5%*r)HCL>PRL>XNaRo_rJga5bwUp7MqjDoIw|#xd8`w1SU(au)Jdsl%wzqY z`=PBL`QlNwex%eh=CMx5Vf{$tP$#9HF^_dZ4(mrEhdL?sjCrgRa#%kSIn+t1XUt=r zki+_s$e~V3J!2m0_w={6e&k>K*!q!D&zQ$LA&2!NkwcyI@>nP2uznx3NE zk3E*Fb$YK3RZF&)Iw6PkBauU$^zvB0hkt47N3OWR){m6ByMD-F{Yd1nex#SjIw6Pk zBauU$^zv9IZF&)Iw6PkBauU$^zv9Io9a#%kSIn+rnk99&0>qjDoI_c%HPRL>XNaRo_y*$=$@uRkWUSSRGL zek5|JlS%n8fxo{cF`v!vZ%NE&^ZQ#8^V$6Vmc)EEzrQ6hpUv-YNz7Nieq6NE$E)P` zC)CEdbK|G-`xB0fNL)|czlr&((XVQ*>ZG}G4!OP|LY?&TdjDq%BF&TVp%*CijQ4-2 zIQrfdEm74Qe~A;`RZ=H$Ju&|?-G9aU_xC$Ku_?m;8SwmsIEQ>uqW^Ca*EL@lMY%;e z(da0*EGOz5<@WA?|GTn76h(zqo%g&+i2n*pI?C^Vx;YyB?I%%wMefAC_ugknw4H(c z3Gy3^I;+8;#cQL%Yo3W(_L&~%kbcDx>LjkW+u*jLQS+cL)L@@|_Vf()`Z8+nx+!WY zn9<7dTcSazlXAUX2GyPSI^32+*Q0;GPSLP|hpNqi2YNRBqn)Cm%ST21_g@$1umz_@ zsFS$fE*pFzyDx}}S8t0Mc%Mk~x~HPzTW^R4-FQkX|8q>FbyBXU8k8jOiBb0s!+(Qy z4O*_66qWw=+^9!I?(wIcchVVmKFa>2uJPR^d!t16M$3ioM5UJ<7q#4w zz{{_Tv`)(PcA9+m-PU)aJ`G~Cy~z+!$>j3gcbg_fed@)E(eyf!q& zWk?$?6>BQhCC;^D)un=z>)E)J{kBtz%g{Dl%6_v!UE;jFT3yOWxt@(n&%GW?aT(Tz zOV2$Qt4o}FuThttq+HL&CGX<86qn&`xa3_Vn_BiuoR{pXE_tL}&&DP9`XJ*!^=w>nK3$dKGO`VqoDFxXOPm|uRF@o5u4m)Y;m1d(xa`t~ONX(e z)g{hxv(%*nDc9TS65&bL`?KogC!Ksr>z|b2N!NR0Cw3WlLJsXp%DTgPU z$QQI{QZ;!mHHmZTOf{(@<$5+IHJ^4(Hjyu9&!pzx(wF7wALqt8HK`%xdNwBY6BZ|% z$QQF`QvcJrY7*zq9#fNgQm$uX((k@~l1=1G*)!>Pul(*MS(7;LKT=Klk#ap7la`BD zC!5F@vS-qA(LHJs=Oyo|Nee00+i9Y`xqp{Wl1=2xID?6Pwf4__S-*B!ESh3ZDxH#w735{A82NPkX&%-YJ{a zB+k?7txn4IY)o?N-%K{i{Iu6Q=Js8sCUG`=ZgoXWUUl$4BopThrgyBGeW;qmIp^#!y zq+HL&r2hEUUTn`;=lrF2tUvBnHHq_tSFBFT^=wS~{jK$l+Dx3&m)^18YI~!O^ErE? z?nlb?Y)tST$a#vHIA0VGW^Ot^9rnSNDC#>rB8TsHv(`z}cfe%No;ryf78S~5_CEa+Vw38VYoZZ% zjof~9GQYb;gLWIMzbSsu?>D#d>6@%h@;AnBH!)9{j%IXfxMyyvQ|7n0sNvVk)urLR zDXkP2t&@RHwaYiAI%R&Ji)t^cRF~R+uWY5bXq^mns+b_Z{XhA$%lu|%ztvyvxkJD0 zKla(lzm_21?bz|pZbzK#Utq8qce}oW6?2mBK>dGrvhQkT?_}Q<+VOSjK3D$bh~&>M z^SiM9ixBeJ?a;pzk>zK%BTk8*UAw;fndN7{LZ_= z{-qYBJioP020q6-PkSuYDf65y>O9Q;wVTe@Uaf9QanU*%=+xnqH17qOCvNgDpxS#u zha2p@Kq)R-Cj*_zFOh$xCi&~m>38k=>544B?smi}@#`)dr}p-{9dSzhy359?y#{Oq!EYVT)P_z(Hlv68>;oPO7?f0ZlC z&u&MY5An5dmf~CIcLxAEz-N?zTFR**h=xyIvMCyG{N?IikEZ#EZS|M z`V<{&{a7hJS|3hwRiMnFK>=ql=qB%j>F}BOzvLgT_t7I9u5sYN|0*R!$c_rHr1EWBL-i+*3rCxD+hAh+D8 z7X3)6XJgUwTw#JmTi;n|S^c?M#QA)qTC|XIy`2^|yI#2@!NU2jg3YI&wC_?jkx$uo zDVs>CXJgSYYfgfN^Bo17O`kQzX4d4{S6iKwdNvky&Epa*obM*sTzcSen^%*Ardgeo zdNvl-Z`UMPwDp~Y>bG*$BF=w~REug-u4iLWah3e5Xz}MoTi->fn739f;=HD-T2zp7 zJsXR%eOD$}wDlc?vVE4SMVzD8sYMwn*V}2K-y4mtUe@~jcHU9+`F)lAjIKN_BJvvf z`EESdNvXHfLBCFWe0xsoN(^;=>O}t+_t-j3S0c`B^Q}(G^=vHiM!lV2;XJ?fZh1d? zMlIsp^GmCfay=W1&Y$0%VBtK!^=_U2yFe}C{Nh=wlX5*9i<~9rCs;VoZ@pX2Z6~Qk zoVPEuIw{w)vFMOnonX<{^SeW5`^lR)yVy_ObRgw=HWtzCs}d~QdVWVs?$ou2bE*9d zY(&cStSo*qJ;9=_=XW&jAhRIHU#Oo*L`uD#7WTCGEVuP(;hY@wsqpDWds>j2YV2u2 zNzj$KBIc}CZ$WH+qv-~OG4!1qf>flW3)M2r_Q^A2;@`Bx) zlzKMn(DBaMtq#t_2@W0an4}JI-Zf7hI+Aid8;35X_p~}VlM^^}>A6rH;_S6r9lDTm zJsXG8m!4>Ka3I>h<+wdznx%Jpm<%7-WHNoT?hhw@>zC*vGpd$F99>)AL| z-L|N89h`|a9I6&ysOu2tlKXTWsz|w>jYCvBD)t@s6K5PVY}T+gPlPoC)FUG6#UwvYA zQm$uX((hgU*)y4zaZQ}J3%z5%f7#ET#rdB6w1|8<{YbfM%u6z9>rJEK^4Z$s zn*4H{ znpBfg&&H(U_S~d3Y4g;0+eS61AeYvtNd+nOY)s0IT$^Ol=E?DhC)A{jJZh7gl#x0MX*|X;yU6D9@E!7q2 zNy_zXO!5x-Jjuj)*6SVfCcddAaUND^byBWpW0G6&Op=N7tk*l{<}Xu|I1AslIw{w) zG0Ay+L6V8{tk*l{Ja(R%#QDVCRww0pHYOeV=ud&S_P?QRJ?lGESE@;zHTHLLJCJfc z8Q~pkZp66iF zMyY4zF;Bj46q`}%n{->J%q!*HOxB|VdDTO9e^Tn%cyzpW%Jv>@oiyjm-;@xKj^uT7 z)T1LQ^=v$Hzg@JwM;oWj+^u%PiF2EsaB@kxo{dN8!%MgKXzRrJ(ABygrR4IJx*nyZ z)U)v@?~}Ao+d6et+deHPYixg(lTy#dqiWv8+pkAkC(kSGJ5E*PRrVdHDpKm%ctr9f zvzh*>$4+s-d#vY6c}nTigFIGd6F7I0Sw6*TC(TN*aQ@b!-mUhSqtznL$#bnv%Jpp4 zqJC9rqD33;W%Vn!szsbn%ilwmwWufMdNvkK{qIS%XybX_)MV44I0x8tsEL&8*;uq} z*)`Fkji-6b|LSxt;{0ZUu0;ze*V}1f=gj&14hMGUkj&3=?dna}*mpSMyw<+M(L~Di zY%Chaj89yP%ujN)XxMM0zH`L6|8Z6)<$5+2wOi{FEiymH^=`G_$Y1Wsn-s%Pi9;94v=UV9VBCki{vvX+XC%E1% zulqu^h_mNvtCMm)8;j1*T$s2PnV;Z#x6V&bSBp6RvdHSBT+hZLXU@b#i_A}Oy<5)D z_fU&Ce=)=Aq+HL&B9ik->+ZzSQU8<-`vRvDJhkF{-F{{uBHMpD(R~rg%q791jVE{{ z6BV@}&#{xVOk8wLa6PNFs2!DP(Z&-TvwgK7>khTMlUdIAah^|Di=(1UpNKveaE8b8 zBF-8)r{J7Mw*M@zPpu9=zbwJRnQhqJX3O6Xh6Oq2W~-A@Z|5gs7O%dWVByR)>~62f zyA>?RHCwDsN$0c( z42!HqoO^$1byBWpV^O+oL&91(b8Ed@>9_JX*u{eE6?M`&iF!5`)Or!%wGyOmG2 z-5KXp+nwd4T+ha$>eXix*20-rt3}l-G8dM$h;z+5Rww0pHWpF*Cn!!m>&&)Ki1HH> zu)t4JD96h@0VhN<%b%dA-G36-LM+-mA&wcNcdsSK&Q^nU+CTH z?^v%EaV{&fIw{w)v1lq;lxUIp{X*~7)XgS3adx+fP7^8Dv$1G-uRhTt^ZSKbw7i?I zYZ2%ByIGx->+Q6#6XG3LCt9@ee$ljaj`q|z@3ik=G?8*W8;gcxrX^am@qW>8^fn;woZsoZ&HhD^4U7I zs3xVJjYY+r_^Albi?&XPKez7_RFJ>0?-NvzQqRVsY^OUD*P^Wx;`iq3T9lDHuhO+B zBc3p*jke-aN*@HS6~@{@M@(x>mTJ)mq5k3QDkO;|Y$x z52P04E_P;@zZYZ{S^mAC)}J5NebLqlQGPxc&kOwgu(Hc=eNM>F4;$P6^TT#Fn{sBt zTC{mWoP4C+ot!GCB%D)8skieJF^k8ZOR#A3g!rhuTVXB8$KN*#QtH`QbbM-Vf<>Dr z#Fg@skz&!2T(wv&I+9Y)#-huh#Aj!lC&Xquk8~kh>^#zilzKK6r5~a_kn!ld8opSSQdeU{2^w3wnQ~&3KQ;av?el(T zGp)SeU#d?mp+3>1PHH{Gs&R>Y=R_7>WB`vkqeP<^CM zhI@S~Kkb*sEBXCwJFgA)`&k<|+TU&yn$HIN+i#7r>mlpaZ|I7&Uht+1J|Fxaap=lrx@$=xH-tEt0Ddf%pw_R~p1T_>rN;a>a6sV2?7Pwpq% zd5yl)yvEpf?wZEf&;K=zw(sl-!@aJ^P3x81VYc)7?MCyOYj2@VbL~vs^jmvN6^47g zJSMGIa?jb$>+gfj>mQRy`~3bsm<;yn-}|z(Uddf3(W`&iOucXa@|#KZkvbXdRru3R z>a~3rN}d=dS{43egWk1pLN%#2QYVA0`XBjRy46bg`xS{^{g1d$@7w>V_eoo&Bkm)^ zy>2c}>*aN!{x{j*+3bHylX>0JWIi{2NrroUD4jR;DKW(BLjBiEr}A>DC6i*(*JUS1a(Fvxzcf57)_+9*^nsguF$HQ;pVylK3=E;QgY>GZN*17_O( z7n;v$_M2#VJ!riil+K&R%j-e|?w3vvuZMOb&FlVcWcYe*mCl>S%j-e|zL8E3uW#i& z*JwW9j3R@*2JR`HH;tFqg$9n2PA@(K_p-T=P<^CMhI?Hsoi~k_*M$aNB%K~!mwZl| z&qb@qaIfd2^QQ6gy3oMCNvDU`^CL;~`CBd->{U2_w0b3Vp``B^B|hy7ud&@;c@OpO-(tO@2 zCWE~OHA&}9A~t8lIL>cVw5>D`5DBXu&^s;FjlIxG2N)V+~r zUQ*P@I(1R)yLxw_+DM%Yx0+U%&Pu)%Gg?itK3#Ndqgfr>Xf{(mC&R67AD_-jz7R87 zEwL_LwDdT$T6&z>EU}-nE{a_b`8q7xI4_-*d>Ll6`qa8%(PvA{>a!(g_33Ofc&&eg{V8mr{*$S1BE9-C_Abe!%j($B@J`%X`gY9n^wUIg*Y}NfC>HH~H!;=1% zP~!8d`-Ae9fwg*gAJW$7!BR5Z>Rajjsa8(M>AqFoGGMjsV$y83P9%e^dh8`VHr2}M zI6d~1elAu$_I{RB8>y4wR+mVRO|^2)I6W?weh#bOUrt0sa8(M>G7QO zb6CAFjx?L+s>xuho;{?;rdl~2r)PKR=VH~f=Uh^4q)vug9U?t8)ynBOJtx|kx#yuT znbn~$naxByL-Tq_cka1JdTgqd({XzK-pk~*pN5Uc!?My9j! zI?jnTde{7u?cG7BHu)#kkik}^)1~vLtkrO*TWyP^LmigSJGost(=Zi`la-9SbcpYX;xp_$)49k zta|M(JvPpVL%_d5R_vpWABvpLVs z(7YaG^%v=}sa8(M>GiaonR`83Z&uINo7K~HhUWDUtFl7rv8h&0$0;k2elDM2S^3(qmJtoQ_jALHaqY4p>Z@%>+9`^Lmigb<$%~t(=ZicCDS6%dY>(tgipa ztgd~C3}34^rN^dPIUT2Lot>G>-fA|hx0=mnot>e1J!Gx&|1>+DmDh2WO;VfuyXTQ= zmA`Bf8N61#`%33eS*sCF$LU=sZyB<$de=`U)kf-MxYcpe`BSZ&j??>CdCP#+@ykfF zIrdyK-0BYLv8h&0$LYOP`Z=ub+(eqq(kIAptN%!kO|^15PVY^2lJC8Fs99|uYBrm4 z$Y87Tk1S)KEM+040^ z47YkhdTgqd({ailw=;A3lV6+FlV6+7HH~cHPY!g6|cx!2G;7eh_p3&#ZLCT z9@3pFtEBU%S~(r3vQpkM#HzA-FH)_fPHH{KYLfKWR4b?BR32j|`O3)`n$_eB&E^<8 z+4Fjk)&EJ4O|^15PUWrA&#_jEpCQfaRy*1AdXUv8(qmJtoQ_lZvGj9TZRkpx)yH;* z=JgP(s=?A@Q>~niQ?;}7bFr!#GL}>;sgqg{vN}t8Y^s&hajMR=Gjr9f%gt)m9MI+PRFUfgv)2G;7g^GREy-%KOJtzMMQpK9fFoaz_sBwzi~8nb$7joG}g zm<+b+(@T16s+H4m`t-Due4ny%y}M9tq)vug9WFgK)ynBOeGapee4ir@H>)EKH=D!k zWY6m%yS>jJq{pUOIUT3Zjdo`4bJHTTx@nPF-8h{LU#s_}$EI329jDKGc4qGL!P{o_ z!P{o@-ZC=Ss-{_bY^s&hacTzGnYm_Ao!(ujHc}_UtxlC5n`-5BoSGTZ&*jNbbJ`Tr zY-ZRQn%6`2Rn2|UV^gi1j#G25^mACYU)!0vX3KiB z+OpoPzP2+ouZLI_{A!PMR$j+Bw@GaZ&YMW8Rl&JUq}D^MYJVi1KV_|UbvjP%5A7sh zJ8FR5U8puvC&R5Sl+K@O<#e3d3*;?B_EqggCy{1zft~DmJ!q}|Dm^yU%IP?@&q_as z)#^J*vwGG}_PicqRaY!MHr2}MICVwR&&8^)+eT8Yq)uu*$m$^Jv8h&0$EiEe&dhZO z4>qfV2b;}-5gBgvJL$2hR!+yMTVQAAx`i{%YT-3f%*nfv}}j#>R_j@jHbmJGHkSan`HE3e}`b%Nft z;OPaV+7vu>0vT-8_rKElQ`YMHPRHrH*-r9(KVPAD7up(awv#=thwQ8RU8VDSXX*)t@UpHr2}MIQ74@lYITJMw!*GMw!hoOUQ7mr=-WGS~(r3 zex;q{>sOs`R;$i8o0SKU!B!1jrN^dPIUT2=i=CMp@>c2Hg=!;pGTiDX(qmJtoQ~5l zPWrh#MH_yaOPb9%J45q&$Zl`AMtW?jmD6z=u9kidtNCL{v%1>O(7YaGwN`p;s+H4m z8eX$AbHnSGnbqr;nbm7{hUWDUtHwUkV^gi1j?-9eXXeJ5=hRB5R#GRm9%MB|dTgqd z({UOnOFx%SuyI;3X*QGX49)8yR)u*h(^)wkr?Bf%wJFSBN2-<7Nv(%i<^B2MWUJV( zTGl^RUITKAa-zhaj?TM#hTb*ro*PNEkvbV{)%f^$wMzKCS^Dck@r$!Ec{M&ZQt#XN z#BrqhNSzG!>ep#rdM~FJ_UkxX@7u5Q5>kDnPKJB!|8jaSryKSgv)p{fenOhhnB`=+ z*OjH|y_|m7?~1MFGp~g-pDVVK;a;yyOz-7%#D4$S!+c(~{on6Z+wW30uh{jFug0bd zdAF1&SIWM3dSX+#yvfS5tEuvCQhlUO2CrAs(eeaJ=jC+8rlaI}2d`r`ljd{ON;2H* z*6Q?LPG4-grIY#m-*D1=Zs|mZdwqO#dM~FlHhnbOd_I{)n$Jh0$zZSkJKdDt%ju2% zzjvwLxBt!$k?JFLGT5u|xXSciUUxh;NAFvB{7_PT3XjbpgT4Bn@ori#?>Bn&pSfD^ z+yBfhq^;A;)nvHW!;R^^oIce5p+fUnzB_3?4;7N(UfZPirg=`_S7&AF+x@?lUN6sy z{@PJVC0D)X8wKOQrXw^K$yofJ>y;!|O8J z|3dS*jmk(>AajiG~jvZ_3(OeAJTlDFC~M$2KJQRo6gJWLj!wAuNSX@rShh0 zR3E96;a-PI?@j0B^r3-=NUw*_Vb7B0bI1ZR-0KGEz3IH1J~VKV^m=&xA)hp#MeE3L zulJ<)rt@<8(7<=4*Td`maisaYTTKRg72a`VdM~dJEw%k#c;}gVf1&ymF5QO=_G%s= z?*wVq%lo|v&Hd#aMAoZ$;9OFDq)vu=og(i9>Aaji)I43@LEtsxCDMGR-$aIc-6Op> zotM*xn*S`l9$xqLAkF8`?~>tOUrX;z=jHUF=C7pJ!|Q(sk>>MNBN^;9=!epK(|I|4 zXwdF<&K|VKb$WlH`beD&_c~vCZ#plh4-NXYowEmBu-3dTSZhANUP6X@JuAI8otM*x z20dfv>_LB(cU}2Bh350jCuFc!OOZT_(s?<3sHM=(*)7HL>=Q4c`beD&_A1%#v$p!XN5PvK`5lfhm^Bi>2tC0~vi-&c!!K&mF|Q}jF`viBk-=WYofoI| zk}t=MUd5fPFBa!MruP@BkJQOh!c;@>-bL>lN#Z#cM7!uQeB%&npL!!CrY^ z%GbO+R}+4hmd>LypK9~IkQ0GCP4m8zCyY^Tq)rA~b*o&KZnfOssM@WZtZj-y3*JSzDgY0^#li^Tlel3yB^|Iva@vF zG+tg8D%nXoz3lgr!7q^NC3RBkL0)G{=S}0~b)k|o?CY>(R*8AdvhVpz-MnJggS?hY z=S}0~b)k}nrPE`*9yx$CpNH$o@b&smI&T^;uM3rIlTI&Q-J|)W`D~j;277hiM>=mB zFRu%A-&;C8y!Kr~s*lvkaIedx^QQ6gx={B^rPIUfx823i?3KT}yy1ygQWtX0 zwE4Sj*1P8a&~}+nZKO^HTXla?-Um`w3%_71-JS{vlpHdMzT=N9ts_*J09m(|CDZsK=qw>EU(w+obs%x{M6>`h#@dG+tg8 z>T!c~dU)MbN1D$Ko5*ml_oefu@$$M*kN2e0!|Q`7r1`uzlnnOjIZ!%p8ZWO4^&B9b zUc7oX-%6^F)X8wK8Pa*vczIo@=P7o^?s@8Z^E!3C`J7^BZe9=Rjy>;_&YQ-|>q0&6 zu`_nh`v;iU{R7PF9y@dMdXU%ur1Pfn^14vZukDQ8bIVEQwdEx9`r6LiydL6Jx`%Y$ zG+tg8D*d6Iu}gn+r+Nw1OX{T7L%i~TJx;xnx{x!$%s+3q-ZlSxd#4bpjnv6ttI`YP zeIRwU@JqKccA?Vq?c`s2;YM4n3pd&-oo|x>UJqHV(!a|4KpHQv3za@A?;rA%C|y06 zG%p!2;Y`HqAzrd>j3HW@H*%| z(tHlENdT`0c`cC6o5suQLcOlHGj^}veQ935`_jCww=*}d2YJ0Moi~k_*M)k$WoPVO z|J>EQ{<*7py=7-^UJvmqYmm;H#>?wMW%bhO<#Q}+oI|RY)Jd%ed7U7gH;tFqh02bX zP7kjWSCHm&yq&puJ;>`W>AY#Yye?FBr=79OmTfbyW!uc_&KJn=_4==L-ZWlb7b@Fq zXY8`iN14~>qs(Wsow<2EWWDmAnX6t&T}Zwh^-qhGV>XCI2rDBwRGMzUS1a}zskq6yg?2KLhda-%EUTi*V-X?>+D*8z0P2=Ttp^9odV^`En(EAJ3N9ts_*A(fz zX}r8HR597k*cDT+Hm|8yo6qDaWVqLD(s|Q(d0nVtv2=QQPE;&;g*2bVx01nL1qCmu zS5g;}ZJ((N734ppcP%LVh*TS?lfhOM8{~Z;b+z!zxiWfH{9E2Xuv#0-NL!_U+a!S3 zLwa`QPZI0#yv~-+o5suQLY1@Z9JmqzDb(bBQ^=(^$@SB9O=Aiyu2<{6-lQTud0qUq3Cy?fIgq^v0J!Ib({H%w1C3PX$Uizn|ISUp1bc@;)9MDXv zmDEYChgenrQQikqR|~(eE2CHSP4fPM)w+2pX{&Y995UQ%y}S>k@$$M*^#^wHul{g@ zd40ISd_Gt~27C2skd=QKO{_nCQ` zdCfe{d``1T0I!GauRae*=S}0~b)i1@+ZnshgZG%%gZG%%{pXY6>-CLv-ZWlb7wWUc z&e(mner{e{KR2H(tH@xlnmwiSrt$K+P|YYiW7q68QtvNRAE}e!UKdN}P2=Ttp_+@N z)5~+B=91Z@`CMdYZe9=BUp0S|&YQ-|>q0fFrPIUfx#gsJt+q2auZMWmmPqGK!Yj@YX7F<7_RGWfpcPE3bYA4G3 zK5muM5>Kl=lzWUA2qOBh71} zO#*m5$m<>HylK3=E>!zZ>GbgW*Gkg7{%Ml{UJvoA>nEKzjhEMj>Kdifi&tI$PNaHC zoz!}e*U8d((|CDZsO}^?W7ka|ZC=wyo6kvQWVqMe(s|Q(d0nXPPj<$x`}3vd_2)~? z=TC={;a*=z=S}0~b)mY?rPJec{BkvEKA+o}o7Y1=$G*Es=S}0~b)mlBmrgHUeRnS; z)l2H6)`Psxlg^vQ%j-gYe`ROvzP}!CUcVl1KEJ9V!@ZuC&YQ-|>q323*%`aXp=mWV!THNY{ndovU{(cyk%4HU;a>C4;T%^W}XYb+zz|y)t^$ z=h?}>zTjoOzfgUoPKJB^RNe>DczIo@e!QLh>wi{iUOy`}pYb*c;PsGQUw^H1-ZWlb z7pk9cC;$5ECYslE6U}RW6B)i<>!kCh@$$M*{p)t}uYY5KdA+f~d|p3+4EAcMmCl>S z%j-f7HFm~ss9UG^7pjlc$#Ab@rSqoo^14vNRO$5cRBbq}nlzuOcIM{wkp0!LR61`O zFRu$V+%BCSUUwW#n%C`i=H~Svug|3Ort$K+P{T$$V>fKN$-Fk*WL_KX%+2c|UX8=0 z^QQ6gx=`aVJ7YJFcvroI>Lqnj>p@;~r1Pfn^14vtZ0Yp!IX3>Hku;y#cIM{w5U;|z zwd$4Bg+xpL)HGeFu=WYHDeSw6R4b{IS`V?xdv=jp6<6d=pM1=z$Ih5?gfyT`MtOg^ zQ16)c*ZW8{kvbV{)VOAv8kMvf4RL?R*Q?|7o{g`aL#j*TtK-OEr+!t7Q=OzCW?H9y zm2>r;{i+`$)kW%LxYMMMQk|q3W^_8{C3Be)k>+yDOJumy;^tH*X@nV_{;!9*+_o2K zF8|kq40rnX^i(Hlf*GAYImlc#*k13q!S;5)PYyDt*mcbp#($=$EGH_7a(j1(I!C#c z9ik{Itm-`K-eLG}a8y{`d9TBzhW`pnIz~-{<-Jm#QQPftX@HrWns$~qSb07*4S9xC z7parM>(q3XJY|xdobMMjohi>VIGx>}Wp_qowrM!!I+i`2pct49Yd;%)X89{{+E57;^h9`uHU?)_w0Xp32E!|n|H`?rL)!?RBBK-1re0?(~85(qt#6w+wh+dN!QaA5NOf z`^{vq)4*owrO8fCZy7jHdbT(X93*e2Ms<-o8SZqd^wMM}r?(88Aw3%|r@c*@%Zz1Y zxYPa8OOu_P-ZJoB>Dh34ppG<`dpD8cPFtjxCObL3W#Ip$XT#~6DWtjlZzvh;RJd|x zs*~4Sp0vGP_|#>3Z=t#rJ}GZyd6Obxuv7Doq`xPvll!~4_K^1oS*PYvx0348yvLbj zxYLEw-;7~g|PH!3Xww-?my(90Z_zd3}Y%Xuh;Y^+d zydHACYiX3{NwSmETUr|I{M*t`o@?SHR2Qj}!Ru7i<-SxW=b2fQYrVIq>vMWcK#pX1=*j#=rAD(=6ydLt|6_x;e$JhwP`~+eW20c{B3j#n$JFmmF$NOAa-c z#RJIjb=q)#ijy}VFaEdnx#CY3n$xEX&E?-Gk-<*+Me?;R&&-5LwDYEtUnpe6knbz)(-)7iNxPO?lHCx_k6vd-IW zc8xjBt}&;xtP}Hk$PVweVoZvY_g&d;k67pJ_UI&YdUTRGJz|}h*F&62I>?*;_8r*$ z-B~4(b>5Pko7G9EPEsd>Kf99A(n*t?yv|axuXJqL+a>$GPnyfV_mSaFmrEy2a`HM$ z$z}HSR&qs?IbC7jNte1g#jXc^c7K;nn&jklmXepGV`H6OK7llsmv$wC*QtA%bkZaz zud{UTB^_Iwy7yj6s*BXgaHk`rlO{QNou&KX(y`%m$Enh> z;dJ`vq`92BiVSypKssrXlXqt6aldqII6XL$G?)8x$#AD{q?0B&d7Y)l7U|e<+B%yw zmn~z+V5gp=q?0B&d7Y)_kECOZQ_nq@lj7+?cUT5ifp>%9GUA&bvmkaGI z%ZD(O#4{<6jkxrWAeoV?Cb z`cHX>kgvMZe~l*1>7RBw=k*Y$Uj3w#COLVXrB|bLY;o$2o^^^LmI=*>2KFlbpQHQucl6*z(zx{a_xcPEsee9^~{Z z>7+?cUS}ygS2{MF&U=|Omvikb%>?u3@mOWEyPS2E@(^K!0!RypJ zUpi@$lh;{#=h@k}cfmxxw@_WAP6j*WzjdoRC3F_~veT89V@S&Hv3m1zy<`5{D@ZlT zfAexO*r@kUrMGXtO8)P#8ZYkEInlzWS8^~a%@>=PnNlsp8DX+29czNB?dT*h+NSzFK znkJnz$;s<17+?cUS}y^VrSp-rSF>4(s#{e$vtGa z(`V92lbpQHQvRu(eakmBn$xC6bNTdhGT5nNxOCDaC$FVeIvMWt zbLpf>PF`oJm~Cg@iaATnY0eUJnLV2fcX~`ZX_AxISt=ftjxEoEipM`8&E?VMWUx~~ z{d#pu=q$3uGj*1NzAxw<3mUeOY9e(q*r>9T^!Dvn$^E@ll{xYbA*)o`xrJ00sgvPO z`%7<6a`HM$bq)ygOCSl}?)EabmiUVbcLPH zc|FMKAJR#aoV?Cb`Lc9utkWx-NppJHPUpNH;#5^3oixeG>nv5}(y_&ES0xTcro*k>O6?N^ehc@;XcPRy&PXf0tuU-{qLg z)|bg(r#^d2CrxtlI!m9u>@?nIpMCV+LUoZk8SZqcbkZazue0>I#7^UVesi%o{pMnG zxx`NAydJWj`aCb4G|9>9EPbA{vu~dlo;9Zzo;9cE7LdW~RMSH`X_AxIS!%l5*|(-N zU+*nc7parsPKQb-O>*)&OU)s6_N_T=oH-pf&RhkWQN9nycRcJ{3u z*h8I!>Lhhi>mg1Bcb%k837thgI{g#Vb(T91&^s0^JD*gOf;$f&gN0-jD*3-Z zYPy}qYiAs!_ZHgfOt;f{ZR~o;D%IX2y*nyc@mUjr*O||!4N1D^0?R3uTK~7&u zCrxtlI!o=B(y`(6^;*)LzFb0TJ;bT*htf%toV?Cb_XFwJ;#9XsC8;h_C&Qi2mrk1G z4GE8<<~7_xYJ*xlO{QNou%#>JNwo>d!so$d!xBLGlL9v>RTwC zG|9>9EPV^4W6NjPx9A;GU8GKiI~^dMG|9>9EPW?P$A;5^^`yB>*i42yT`!$9$;s<1 zeXp~#Z{G#e%xS?ibGdFf8SeC^bkZazue0=h!_K~a-&$-=Z!I>LH)fH+P6eCqRHuZ_ zBFmJqv;1ei-m&1nt4K8|_|JSY*r>j*^!Dvn$^V^Db#@xBum4EzEmW8KIy;>U!<~+o z-k#*-b(Z>L?KED0LbExY&}>e}+UcCvL!RRGcSDcnbZ209+(p-LFXJK9s*-s5mN+(Tn@;Xby6VkEawDL^SoSv|= zFt3L=HRehuO>*)&OJip{`!;rYK%Io@Bz02jK~6uGPMYN8b(Y4lcJ^%?_q92V``TQ_ z+F6*_gPg9CPMYN8b(Y3?(y`^UYrJ|l(wyemS(w*DoC)99#zHH$R8Vo*Sxf;az z@EkQ5Ov?548eks`oW5KQrcImN#y%MM!*%*8#QFP$`Y8+~<$5*-&4crI(4e_Pvl_&C z^e1Z2Ov?3a40`k(xq}AXH&0Xpvd4rnH6T&X#-RIA>vz;(=Ug)&FMmc2x|33GuK~Um zy1lf325o#Tbo-;6N#tuG&hKXHPV7d?^=u4EuK8#O4N8uc<|_tqu9UYB`LBeO>pNhu zXHWgjZo~99yWOXMg8gQ<{_B@VBkr=V%rnmLzApMdxGoxT?fhs!uZ69A`ld+hq+AcO zX*g*IZJIv6OfC8!Ft(Lqp>=Y{Y+8ToU94XeOj)d-j=vu07X`y)M@qkl^Qwz=hZc}> zeFxSf#UTF=6L-)c|Fl_Z5a$ac)gYgg>+Lnb*GThQb7;`U*GTiSg}Ot@L4}7$_>V+A zD}&1B9W)sD@3Cq?Ht#PTO#UNLZ?6IRMe$!Biy5@_-cY>oZT%GD{Q5@y6pBf?o|QrF zj2$#6dTp*6ki{d$n?a1Ix7Psspy(KTR-SRjv^MrZ(O`R4#yQuXl|`gn&&Hr|(HO2l z8wQ1^oS^$4&c6-NeNafs^+bbx+j@UY`Yo7IflY7oVxw{w)`)Qzi&soo?s@sEg;QgSNRn4CPlm+^od;?pCzf$w3)}8=>5Ln zXui#oqU&syBs7=#PmsZ@)N!%QV&&{FzgM}{3BS}a<6i3ce=_rx(|^a?-XzsV>SVap z206#4Sb3+&j-SZ909K#YkY@AAMl#r{(~vP~th{q%r@@2mu0to0Y9nT1R7Ay2E$zr8w*l{Y`_eAyhcx_l{VHkZkXSw2Bt53+jcl{8k~1hw;vE6nEa8%VQx zaRsUM5Ubp>vNTrS3^liOo8C3I_fDkRNSzF}iZ;vB$L6{T&-Tny)aXCude7*;@|=@r zsZd>{P6j*W9&u>uO1ZxmDEIJDde__|Pa|!G4j)B^Tiqn{zT|#0)ay67f3P=~+&{`> zQPxUmHh(yu47d6~I&6xS*Kcy)mu?Q5^`Dbw^ZqI_*s9AQ>98qQUcc$mY*YCzEhF{r zLbZ`P8E$o&bl4Otuitbz)pmK8(`TF2>2gAlrJ!=_ky{ie%((#_=)?DD{J z(roU#j10HhA{{ox%Ih~>{wLiWR^MzT&E|j4k-=77M@ffGvGV#&*B?nY7pty&?m?=J z)X8wGi=@M*Sb6=X>xI(IVRi9&q}g0Jfeg1=Egd$+%Ih~>|0>-aR)1Sbn$2IYCWEay zjK5T^BzRb9MNMJ<&V-^d`NxP+g=>20P_-ldhkKUDW zJjI-TVqb$fKe4a5oF7jyr`UDPXL+*8xk}!0lbz&|p3!OEt>$#K?e?6jZFlF)yVaax z*Mpqa$UAPblRVHfI=#ByoYvZ2&sl4GJLlE)<`lag;?%KvRH~EnGix2I2B=fVKJwlw zUw=Y%kvggMAg9UlE}6Ve&QCjZoOF`8Op&)%I8Bk6x4gMXoeXx0CS0dZvFX{=pHG!> zUdB%@`sulP$LMFvNHvi<8E$mv#>7>cHdzLY?)2=AHRiI+-aeyc_O=<_vBsQY*MmN} zN7t!SYeP%0GlEEw0sbh5-E3dnB%CTP8snc+~d#B-QBXu&| zYRu7Tti01qr~Rzgb=rTH-F^RAX0zXDGTiD4dH0a7z3o3i?=;ita(T; z@=M8ZtC!zRW96M@I{kgM-Sr<^NVEC-YBJcWb9rMLEAKSZxp$%7wR6Spq}oWG47WP! zxHMMYX{Pg$=q7kS9auo0p`q@=i0I|2WUAZh3+QKBU@6oeZ|>kS9-{)~+0T zwr75O>Cjc)>E*f5Azz+zMs<-o8SIohb4Kb)`O~x0C+b~u&$yVh6*_$)8E*C9-D#}6 zev|va0<(F@P7t{d*@;2wW)-_0@)el7RXS|)lYOYyZ*sqpZZ4l(?zVi=Y`$4X2Cr3@ zy`;mYSb6=X%bwEB#j4BR<4CoUIvH+tiFDW$E3e;lx!87jmrLiG)unUI=HjEtaI5E} z!=_ky{ie&`q?=={o_~ono4?s)f!9O!RoCv)VNJiFZ@T_ox;dJiF zZ@RuC-5gf$t|iUpoh4+jRfl75RIAuj!TOCiJ)34v^bW^8p!XK4ONVI}lfh1T{iW+C zuarMM>nCp*vQl{iDoM4;>-Py6ZZ%!Heu|aXZ}Lu-Hw;*vawKUsC%2HnRvn)FGWGNG zre{yQt@rHkR57V89iDib40g(?8K3IpP0#v_)O+UC+E<}aU2^)2B*UGi%6qPSdfV-D zZ+bRmwmD6+ufd#Y_BEF?#lBx740l>0Z@I}%-t_FY<>qv|?e?78ZFlF~w%pb!c0J^Y zp0iQjag&|A>Dh*@=Jc8E^_#xHbRtJ{n+xb;?55KqOyVOM7dQ< zn}-#5cCaJ$Xmf9Xh&GXWqTK3b+{21HJJ_=mX!GhL5p8BCh;pmHhKCh*cCfdTYE;KK zL`0kIBvEYTl$Ll{ac2kTVm{hjswOHMxK0#XnYpn0?5!1GXJC3&IZ#2vzF;1KeGaKy z%$!o9*vWa)sm~POtLh4?QJwA~BF<1(m?*benD?;a^2YfvjW&x|uRDuapTl*uO5c~% zFzx|J*vy@s?Nzzikmm5@+=ID9WdqlV;<<9KLc(fRT;8}N+CYRGlWutwtbW zH7hP}++keh?&vsLjgF(ua0^jx^%W9Uv*Pl`U4=AH&DFQ>M6_AOb3EObtgFBYNLbB^ z%bUP4NOQ0Xs1w2s4=Y&zJ);LVii^pT(2s=gidp~+O0WU-Bx@F=P7+( zvZMQKsBtr$m|m67pRJsH4z6{ea)Ika@jUr1hjk~eS5;PtPUTRy!u#hd??jifN}}B9 z>9p>|^{RRY(WMWn)|6ApQ)R@K>to4A(#Yk90v6Fcg z_P(9n2&P&HBR^z5DP#ARN83|$6RiRjWFBg&nA=jl$|KE9TP zF6&rNnd?|TnQK|-l)f+73yhmn$|?QjV5Fsv{c^AosxDaB#;vzRWnwfA5XG}(Jba^! h)IXCWH|{rZ|4eS5M2|-aB6_q>5_f!D_#)D8`~z8RLk|D| diff --git a/lef/vccd1_connection.lef b/lef/vccd1_connection.lef new file mode 100644 index 0000000..0c13b9c --- /dev/null +++ b/lef/vccd1_connection.lef @@ -0,0 +1,16 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO vccd1_connection + CLASS BLOCK ; + FOREIGN vccd1_connection ; + ORIGIN 0.000 0.000 ; + SIZE 45.400 BY 74.600 ; + OBS + LAYER met3 ; + RECT 0.105 0.100 45.340 74.300 ; + END +END vccd1_connection +END LIBRARY + diff --git a/lef/vssd1_connection.lef b/lef/vssd1_connection.lef new file mode 100644 index 0000000..b81d229 --- /dev/null +++ b/lef/vssd1_connection.lef @@ -0,0 +1,16 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO vssd1_connection + CLASS BLOCK ; + FOREIGN vssd1_connection ; + ORIGIN 0.000 0.000 ; + SIZE 45.400 BY 74.600 ; + OBS + LAYER met3 ; + RECT 0.105 0.100 45.340 74.300 ; + END +END vssd1_connection +END LIBRARY + diff --git a/mag/openframe_project_wrapper_empty.mag b/mag/openframe_project_wrapper_empty.mag new file mode 100644 index 0000000..b7e6a37 --- /dev/null +++ b/mag/openframe_project_wrapper_empty.mag @@ -0,0 +1,4982 @@ +magic +tech sky130A +magscale 1 2 +timestamp 1686252151 +<< obsm1 >> +rect 4 953326 633378 953378 +rect 4 0 56 953326 +rect 633326 0 633378 953326 +rect 4 -52 633378 0 +<< metal2 >> +rect 27497 953270 27558 953726 +rect 29498 953270 29559 953726 +rect 34054 953689 34102 953726 +rect 34046 953637 34110 953689 +rect 34360 953270 34416 953750 +rect 34912 953270 34968 953750 +rect 35556 953270 35612 953750 +rect 36200 953270 36256 953750 +rect 36752 953603 36808 953726 +rect 36692 953539 36864 953603 +rect 36752 953270 36808 953539 +rect 37396 953507 37452 953726 +rect 37396 953443 37570 953507 +rect 37396 953270 37452 953443 +rect 38040 953270 38096 953750 +rect 38592 953270 38648 953750 +rect 39236 953270 39292 953750 +rect 39880 953270 39936 953750 +rect 40432 953411 40488 953726 +rect 41076 953603 41132 953726 +rect 41013 953539 41197 953603 +rect 40432 953347 40605 953411 +rect 40432 953270 40488 953347 +rect 41076 953270 41132 953539 +rect 41720 953507 41776 953726 +rect 41720 953443 41894 953507 +rect 41720 953270 41776 953443 +rect 42364 953270 42420 953750 +rect 42916 953270 42972 953750 +rect 43560 953270 43616 953750 +rect 44204 953270 44260 953750 +rect 44756 953270 44812 953750 +rect 45400 953270 45456 953750 +rect 46044 953270 46100 953726 +rect 46596 953270 46652 953750 +rect 47240 953270 47296 953750 +rect 47884 953270 47940 953726 +rect 48436 953270 48492 953726 +rect 49080 953270 49136 953750 +rect 49724 953507 49780 953726 +rect 49607 953443 49780 953507 +rect 49724 953270 49780 953443 +rect 78697 953270 78758 953726 +rect 80698 953270 80759 953726 +rect 85454 953689 85502 953726 +rect 85446 953637 85510 953689 +rect 85760 953270 85816 953750 +rect 86312 953270 86368 953750 +rect 86956 953270 87012 953750 +rect 87600 953270 87656 953750 +rect 88152 953603 88208 953726 +rect 88092 953539 88264 953603 +rect 88152 953270 88208 953539 +rect 88796 953507 88852 953726 +rect 88796 953443 88970 953507 +rect 88796 953270 88852 953443 +rect 89440 953270 89496 953750 +rect 89992 953270 90048 953750 +rect 90636 953270 90692 953750 +rect 91280 953270 91336 953750 +rect 91832 953411 91888 953726 +rect 92476 953603 92532 953726 +rect 92413 953539 92597 953603 +rect 91832 953347 92005 953411 +rect 91832 953270 91888 953347 +rect 92476 953270 92532 953539 +rect 93120 953507 93176 953726 +rect 93120 953443 93294 953507 +rect 93120 953270 93176 953443 +rect 93764 953270 93820 953750 +rect 94316 953270 94372 953750 +rect 94960 953270 95016 953750 +rect 95604 953270 95660 953750 +rect 96156 953270 96212 953750 +rect 96800 953270 96856 953750 +rect 97444 953270 97500 953726 +rect 97996 953270 98052 953750 +rect 98640 953270 98696 953750 +rect 99284 953270 99340 953726 +rect 99836 953270 99892 953726 +rect 100480 953270 100536 953750 +rect 101124 953507 101180 953726 +rect 101007 953443 101180 953507 +rect 101124 953270 101180 953443 +rect 129897 953270 129958 953726 +rect 131898 953270 131959 953726 +rect 136854 953689 136902 953726 +rect 136846 953637 136910 953689 +rect 137160 953270 137216 953750 +rect 137712 953270 137768 953750 +rect 138356 953270 138412 953750 +rect 139000 953270 139056 953750 +rect 139552 953603 139608 953726 +rect 139492 953539 139664 953603 +rect 139552 953270 139608 953539 +rect 140196 953507 140252 953726 +rect 140196 953443 140370 953507 +rect 140196 953270 140252 953443 +rect 140840 953270 140896 953750 +rect 141392 953270 141448 953750 +rect 142036 953270 142092 953750 +rect 142680 953270 142736 953750 +rect 143232 953411 143288 953726 +rect 143876 953603 143932 953726 +rect 143813 953539 143997 953603 +rect 143232 953347 143405 953411 +rect 143232 953270 143288 953347 +rect 143876 953270 143932 953539 +rect 144520 953507 144576 953726 +rect 144520 953443 144694 953507 +rect 144520 953270 144576 953443 +rect 145164 953270 145220 953750 +rect 145716 953270 145772 953750 +rect 146360 953270 146416 953750 +rect 147004 953270 147060 953750 +rect 147556 953270 147612 953750 +rect 148200 953270 148256 953750 +rect 148844 953270 148900 953726 +rect 149396 953270 149452 953750 +rect 150040 953270 150096 953750 +rect 150684 953270 150740 953726 +rect 151236 953270 151292 953726 +rect 151880 953270 151936 953750 +rect 152524 953507 152580 953726 +rect 152407 953443 152580 953507 +rect 152524 953270 152580 953443 +rect 181097 953270 181158 953726 +rect 183098 953270 183159 953726 +rect 188254 953689 188302 953726 +rect 188246 953637 188310 953689 +rect 188560 953270 188616 953750 +rect 189112 953270 189168 953750 +rect 189756 953270 189812 953750 +rect 190400 953270 190456 953750 +rect 190952 953603 191008 953726 +rect 190892 953539 191064 953603 +rect 190952 953270 191008 953539 +rect 191596 953507 191652 953726 +rect 191596 953443 191770 953507 +rect 191596 953270 191652 953443 +rect 192240 953270 192296 953750 +rect 192792 953270 192848 953750 +rect 193436 953270 193492 953750 +rect 194080 953270 194136 953750 +rect 194632 953411 194688 953726 +rect 195276 953603 195332 953726 +rect 195213 953539 195397 953603 +rect 194632 953347 194805 953411 +rect 194632 953270 194688 953347 +rect 195276 953270 195332 953539 +rect 195920 953507 195976 953726 +rect 195920 953443 196094 953507 +rect 195920 953270 195976 953443 +rect 196564 953270 196620 953750 +rect 197116 953270 197172 953750 +rect 197760 953270 197816 953750 +rect 198404 953270 198460 953750 +rect 198956 953270 199012 953750 +rect 199600 953270 199656 953750 +rect 200244 953270 200300 953726 +rect 200796 953270 200852 953750 +rect 201440 953270 201496 953750 +rect 202084 953270 202140 953726 +rect 202636 953270 202692 953726 +rect 203280 953270 203336 953750 +rect 203924 953507 203980 953726 +rect 203807 953443 203980 953507 +rect 203924 953270 203980 953443 +rect 232297 953270 232358 953726 +rect 234298 953270 234359 953726 +rect 239854 953689 239902 953726 +rect 239846 953637 239910 953689 +rect 240160 953270 240216 953750 +rect 240712 953270 240768 953750 +rect 241356 953270 241412 953750 +rect 242000 953270 242056 953750 +rect 242552 953603 242608 953726 +rect 242492 953539 242664 953603 +rect 242552 953270 242608 953539 +rect 243196 953507 243252 953726 +rect 243196 953443 243370 953507 +rect 243196 953270 243252 953443 +rect 243840 953270 243896 953750 +rect 244392 953270 244448 953750 +rect 245036 953270 245092 953750 +rect 245680 953270 245736 953750 +rect 246232 953411 246288 953726 +rect 246876 953603 246932 953726 +rect 246813 953539 246997 953603 +rect 246232 953347 246405 953411 +rect 246232 953270 246288 953347 +rect 246876 953270 246932 953539 +rect 247520 953507 247576 953726 +rect 247520 953443 247694 953507 +rect 247520 953270 247576 953443 +rect 248164 953270 248220 953750 +rect 248716 953270 248772 953750 +rect 249360 953270 249416 953750 +rect 250004 953270 250060 953750 +rect 250556 953270 250612 953750 +rect 251200 953270 251256 953750 +rect 251844 953270 251900 953726 +rect 252396 953270 252452 953750 +rect 253040 953270 253096 953750 +rect 253684 953270 253740 953726 +rect 254236 953270 254292 953726 +rect 254880 953270 254936 953750 +rect 255524 953507 255580 953726 +rect 255407 953443 255580 953507 +rect 255524 953270 255580 953443 +rect 336697 953270 336758 953726 +rect 338698 953270 338759 953726 +rect 341654 953689 341702 953726 +rect 341646 953637 341710 953689 +rect 341960 953270 342016 953750 +rect 342512 953270 342568 953750 +rect 343156 953270 343212 953750 +rect 343800 953270 343856 953750 +rect 344352 953603 344408 953726 +rect 344292 953539 344464 953603 +rect 344352 953270 344408 953539 +rect 344996 953507 345052 953726 +rect 344996 953443 345170 953507 +rect 344996 953270 345052 953443 +rect 345640 953270 345696 953750 +rect 346192 953270 346248 953750 +rect 346836 953270 346892 953750 +rect 347480 953270 347536 953750 +rect 348032 953411 348088 953726 +rect 348676 953603 348732 953726 +rect 348613 953539 348797 953603 +rect 348032 953347 348205 953411 +rect 348032 953270 348088 953347 +rect 348676 953270 348732 953539 +rect 349320 953507 349376 953726 +rect 349320 953443 349494 953507 +rect 349320 953270 349376 953443 +rect 349964 953270 350020 953750 +rect 350516 953270 350572 953750 +rect 351160 953270 351216 953750 +rect 351804 953270 351860 953750 +rect 352356 953270 352412 953750 +rect 353000 953270 353056 953750 +rect 353644 953270 353700 953726 +rect 354196 953270 354252 953750 +rect 354840 953270 354896 953750 +rect 355484 953270 355540 953726 +rect 356036 953270 356092 953726 +rect 356680 953270 356736 953750 +rect 357324 953507 357380 953726 +rect 357207 953443 357380 953507 +rect 357324 953270 357380 953443 +rect 425697 953270 425758 953726 +rect 427698 953270 427759 953726 +rect 430654 953689 430702 953726 +rect 430646 953637 430710 953689 +rect 430960 953270 431016 953750 +rect 431512 953270 431568 953750 +rect 432156 953270 432212 953750 +rect 432800 953270 432856 953750 +rect 433352 953603 433408 953726 +rect 433292 953539 433464 953603 +rect 433352 953270 433408 953539 +rect 433996 953507 434052 953726 +rect 433996 953443 434170 953507 +rect 433996 953270 434052 953443 +rect 434640 953270 434696 953750 +rect 435192 953270 435248 953750 +rect 435836 953270 435892 953750 +rect 436480 953270 436536 953750 +rect 437032 953411 437088 953726 +rect 437676 953603 437732 953726 +rect 437613 953539 437797 953603 +rect 437032 953347 437205 953411 +rect 437032 953270 437088 953347 +rect 437676 953270 437732 953539 +rect 438320 953507 438376 953726 +rect 438320 953443 438494 953507 +rect 438320 953270 438376 953443 +rect 438964 953270 439020 953750 +rect 439516 953270 439572 953750 +rect 440160 953270 440216 953750 +rect 440804 953270 440860 953750 +rect 441356 953270 441412 953750 +rect 442000 953270 442056 953750 +rect 442644 953270 442700 953726 +rect 443196 953270 443252 953750 +rect 443840 953270 443896 953750 +rect 444484 953270 444540 953726 +rect 445036 953270 445092 953726 +rect 445680 953270 445736 953750 +rect 446324 953507 446380 953726 +rect 446207 953443 446380 953507 +rect 446324 953270 446380 953443 +rect 476897 953270 476958 953726 +rect 478898 953270 478959 953726 +rect 482054 953689 482102 953726 +rect 482046 953637 482110 953689 +rect 482360 953270 482416 953750 +rect 482912 953270 482968 953750 +rect 483556 953270 483612 953750 +rect 484200 953270 484256 953750 +rect 484752 953603 484808 953726 +rect 484692 953539 484864 953603 +rect 484752 953270 484808 953539 +rect 485396 953507 485452 953726 +rect 485396 953443 485570 953507 +rect 485396 953270 485452 953443 +rect 486040 953270 486096 953750 +rect 486592 953270 486648 953750 +rect 487236 953270 487292 953750 +rect 487880 953270 487936 953750 +rect 488432 953411 488488 953726 +rect 489076 953603 489132 953726 +rect 489013 953539 489197 953603 +rect 488432 953347 488605 953411 +rect 488432 953270 488488 953347 +rect 489076 953270 489132 953539 +rect 489720 953507 489776 953726 +rect 489720 953443 489894 953507 +rect 489720 953270 489776 953443 +rect 490364 953270 490420 953750 +rect 490916 953270 490972 953750 +rect 491560 953270 491616 953750 +rect 492204 953270 492260 953750 +rect 492756 953270 492812 953750 +rect 493400 953270 493456 953750 +rect 494044 953270 494100 953726 +rect 494596 953270 494652 953750 +rect 495240 953270 495296 953750 +rect 495884 953270 495940 953726 +rect 496436 953270 496492 953726 +rect 497080 953270 497136 953750 +rect 497724 953507 497780 953726 +rect 497607 953443 497780 953507 +rect 497724 953270 497780 953443 +rect 576297 953270 576358 953726 +rect 578298 953270 578359 953726 +rect 583854 953689 583902 953726 +rect 583846 953637 583910 953689 +rect 584160 953270 584216 953750 +rect 584712 953270 584768 953750 +rect 585356 953270 585412 953750 +rect 586000 953270 586056 953750 +rect 586552 953603 586608 953726 +rect 586492 953539 586664 953603 +rect 586552 953270 586608 953539 +rect 587196 953507 587252 953726 +rect 587196 953443 587370 953507 +rect 587196 953270 587252 953443 +rect 587840 953270 587896 953750 +rect 588392 953270 588448 953750 +rect 589036 953270 589092 953750 +rect 589680 953270 589736 953750 +rect 590232 953411 590288 953726 +rect 590876 953603 590932 953726 +rect 590813 953539 590997 953603 +rect 590232 953347 590405 953411 +rect 590232 953270 590288 953347 +rect 590876 953270 590932 953539 +rect 591520 953507 591576 953726 +rect 591520 953443 591694 953507 +rect 591520 953270 591576 953443 +rect 592164 953270 592220 953750 +rect 592716 953270 592772 953750 +rect 593360 953270 593416 953750 +rect 594004 953270 594060 953750 +rect 594556 953270 594612 953750 +rect 595200 953270 595256 953750 +rect 595844 953270 595900 953726 +rect 596396 953270 596452 953750 +rect 597040 953270 597096 953750 +rect 597684 953270 597740 953726 +rect 598236 953270 598292 953726 +rect 598880 953270 598936 953750 +rect 599524 953507 599580 953726 +rect 599407 953443 599580 953507 +rect 599524 953270 599580 953443 +rect -400 927724 56 927780 +rect -181 927607 -117 927724 +rect -274 927136 56 927143 +rect -400 927080 56 927136 +rect -274 927073 56 927080 +rect -400 926436 56 926492 +rect -400 925884 56 925940 +rect -274 925296 56 925303 +rect -400 925240 56 925296 +rect -274 925233 56 925240 +rect 633270 925110 633726 925166 +rect -274 924652 56 924659 +rect -400 924596 56 924652 +rect -274 924589 56 924596 +rect 633270 924614 633600 924621 +rect 633270 924558 633726 924614 +rect 633270 924551 633600 924558 +rect -400 924044 56 924100 +rect 633270 923970 633600 923977 +rect 633270 923914 633726 923970 +rect 633270 923907 633600 923914 +rect -274 923456 56 923463 +rect -400 923400 56 923456 +rect -274 923393 56 923400 +rect 633270 923326 633600 923333 +rect 633270 923270 633726 923326 +rect 633270 923263 633600 923270 +rect -400 922756 56 922812 +rect 633539 922774 633603 922834 +rect 633270 922718 633726 922774 +rect 633539 922662 633603 922718 +rect -274 922260 56 922267 +rect -400 922204 56 922260 +rect -274 922197 56 922204 +rect 633270 922074 633726 922130 +rect 633443 921956 633507 922074 +rect -274 921616 56 921623 +rect -400 921560 56 921616 +rect -274 921553 56 921560 +rect 633270 921486 633600 921493 +rect 633270 921430 633726 921486 +rect 633270 921423 633600 921430 +rect -274 920972 56 920979 +rect -400 920916 56 920972 +rect -274 920909 56 920916 +rect 633270 920934 633600 920941 +rect 633270 920878 633726 920934 +rect 633270 920871 633600 920878 +rect -274 920420 56 920427 +rect -400 920364 56 920420 +rect -274 920357 56 920364 +rect 633270 920290 633600 920297 +rect 633270 920234 633726 920290 +rect 633270 920227 633600 920234 +rect -181 919776 -117 919894 +rect -400 919720 56 919776 +rect 633270 919646 633600 919653 +rect 633270 919590 633726 919646 +rect 633270 919583 633600 919590 +rect -277 919132 -213 919197 +rect -400 919076 56 919132 +rect -277 919013 -213 919076 +rect 633270 919038 633726 919094 +rect 633347 918921 633411 919038 +rect -85 918488 -21 918605 +rect -400 918432 56 918488 +rect 633539 918450 633603 918513 +rect 633270 918394 633726 918450 +rect 633539 918329 633603 918394 +rect -274 917936 56 917943 +rect -400 917880 56 917936 +rect -274 917873 56 917880 +rect 633270 917750 633726 917806 +rect 633443 917632 633507 917750 +rect -274 917292 56 917299 +rect -400 917236 56 917292 +rect -274 917229 56 917236 +rect 633270 917162 633600 917169 +rect 633270 917106 633726 917162 +rect 633270 917099 633600 917106 +rect -274 916648 56 916655 +rect -400 916592 56 916648 +rect -274 916585 56 916592 +rect 633270 916610 633600 916617 +rect 633270 916554 633726 916610 +rect 633270 916547 633600 916554 +rect -274 916096 56 916103 +rect -400 916040 56 916096 +rect -274 916033 56 916040 +rect 633270 915966 633600 915973 +rect 633270 915910 633726 915966 +rect 633270 915903 633600 915910 +rect -181 915452 -117 915570 +rect -400 915396 56 915452 +rect 633270 915322 633600 915329 +rect 633270 915266 633726 915322 +rect 633270 915259 633600 915266 +rect -277 914808 -213 914864 +rect -400 914752 56 914808 +rect -277 914692 -213 914752 +rect 633270 914714 633726 914770 +rect -274 914256 56 914263 +rect -400 914200 56 914256 +rect -274 914193 56 914200 +rect 633270 914126 633600 914133 +rect 633270 914070 633726 914126 +rect 633270 914063 633600 914070 +rect -274 913612 56 913619 +rect -400 913556 56 913612 +rect -274 913549 56 913556 +rect 633270 913426 633726 913482 +rect -274 912968 56 912975 +rect -400 912912 56 912968 +rect -274 912905 56 912912 +rect 633270 912930 633600 912937 +rect 633270 912874 633726 912930 +rect 633270 912867 633600 912874 +rect -400 912360 56 912416 +rect 633270 912286 633600 912293 +rect 633270 912230 633726 912286 +rect 633270 912223 633600 912230 +rect 633270 911586 633726 911642 +rect 633270 911034 633726 911090 +rect 633270 910446 633600 910453 +rect 633270 910390 633726 910446 +rect 633270 910383 633600 910390 +rect 633443 909802 633507 909919 +rect 633270 909746 633726 909802 +rect 633270 835910 633726 835966 +rect 633270 835414 633600 835421 +rect 633270 835358 633726 835414 +rect 633270 835351 633600 835358 +rect 633270 834770 633600 834777 +rect 633270 834714 633726 834770 +rect 633270 834707 633600 834714 +rect 633270 834126 633600 834133 +rect 633270 834070 633726 834126 +rect 633270 834063 633600 834070 +rect 633539 833574 633603 833634 +rect 633270 833518 633726 833574 +rect 633539 833462 633603 833518 +rect 633270 832874 633726 832930 +rect 633443 832756 633507 832874 +rect 633270 832286 633600 832293 +rect 633270 832230 633726 832286 +rect 633270 832223 633600 832230 +rect 633270 831734 633600 831741 +rect 633270 831678 633726 831734 +rect 633270 831671 633600 831678 +rect 633270 831090 633600 831097 +rect 633270 831034 633726 831090 +rect 633270 831027 633600 831034 +rect 633270 830446 633600 830453 +rect 633270 830390 633726 830446 +rect 633270 830383 633600 830390 +rect 633270 829838 633726 829894 +rect 633347 829721 633411 829838 +rect 633539 829250 633603 829313 +rect 633270 829194 633726 829250 +rect 633539 829129 633603 829194 +rect 633270 828550 633726 828606 +rect 633443 828432 633507 828550 +rect 633270 827962 633600 827969 +rect 633270 827906 633726 827962 +rect 633270 827899 633600 827906 +rect 633270 827410 633600 827417 +rect 633270 827354 633726 827410 +rect 633270 827347 633600 827354 +rect 633270 826766 633600 826773 +rect 633270 826710 633726 826766 +rect 633270 826703 633600 826710 +rect 633270 826122 633600 826129 +rect 633270 826066 633726 826122 +rect 633270 826059 633600 826066 +rect 633270 825514 633726 825570 +rect 633270 824926 633600 824933 +rect 633270 824870 633726 824926 +rect 633270 824863 633600 824870 +rect 633270 824226 633726 824282 +rect 633270 823730 633600 823737 +rect 633270 823674 633726 823730 +rect 633270 823667 633600 823674 +rect 633270 823086 633600 823093 +rect 633270 823030 633726 823086 +rect 633270 823023 633600 823030 +rect 633270 822386 633726 822442 +rect 633270 821834 633726 821890 +rect 633270 821246 633600 821253 +rect 633270 821190 633726 821246 +rect 633270 821183 633600 821190 +rect 633443 820602 633507 820719 +rect 633270 820546 633726 820602 +rect -400 757924 56 757980 +rect -181 757807 -117 757924 +rect -274 757336 56 757343 +rect -400 757280 56 757336 +rect -274 757273 56 757280 +rect -400 756636 56 756692 +rect -400 756084 56 756140 +rect -274 755496 56 755503 +rect -400 755440 56 755496 +rect -274 755433 56 755440 +rect -274 754852 56 754859 +rect -400 754796 56 754852 +rect -274 754789 56 754796 +rect -400 754244 56 754300 +rect -274 753656 56 753663 +rect -400 753600 56 753656 +rect -274 753593 56 753600 +rect -400 752956 56 753012 +rect -274 752460 56 752467 +rect -400 752404 56 752460 +rect -274 752397 56 752404 +rect -274 751816 56 751823 +rect -400 751760 56 751816 +rect -274 751753 56 751760 +rect -274 751172 56 751179 +rect -400 751116 56 751172 +rect -274 751109 56 751116 +rect -274 750620 56 750627 +rect -400 750564 56 750620 +rect -274 750557 56 750564 +rect -181 749976 -117 750094 +rect -400 749920 56 749976 +rect -277 749332 -213 749397 +rect -400 749276 56 749332 +rect -277 749213 -213 749276 +rect -85 748688 -21 748805 +rect -400 748632 56 748688 +rect -274 748136 56 748143 +rect -400 748080 56 748136 +rect -274 748073 56 748080 +rect -274 747492 56 747499 +rect -400 747436 56 747492 +rect -274 747429 56 747436 +rect -274 746848 56 746855 +rect -400 746792 56 746848 +rect -274 746785 56 746792 +rect 633270 746710 633726 746766 +rect -274 746296 56 746303 +rect -400 746240 56 746296 +rect -274 746233 56 746240 +rect 633270 746214 633600 746221 +rect 633270 746158 633726 746214 +rect 633270 746151 633600 746158 +rect -181 745652 -117 745770 +rect -400 745596 56 745652 +rect 633270 745570 633600 745577 +rect 633270 745514 633726 745570 +rect 633270 745507 633600 745514 +rect -277 745008 -213 745064 +rect -400 744952 56 745008 +rect -277 744892 -213 744952 +rect 633270 744926 633600 744933 +rect 633270 744870 633726 744926 +rect 633270 744863 633600 744870 +rect -274 744456 56 744463 +rect -400 744400 56 744456 +rect -274 744393 56 744400 +rect 633539 744374 633603 744434 +rect 633270 744318 633726 744374 +rect 633539 744262 633603 744318 +rect -274 743812 56 743819 +rect -400 743756 56 743812 +rect -274 743749 56 743756 +rect 633270 743674 633726 743730 +rect 633443 743556 633507 743674 +rect -274 743168 56 743175 +rect -400 743112 56 743168 +rect -274 743105 56 743112 +rect 633270 743086 633600 743093 +rect 633270 743030 633726 743086 +rect 633270 743023 633600 743030 +rect -400 742560 56 742616 +rect 633270 742534 633600 742541 +rect 633270 742478 633726 742534 +rect 633270 742471 633600 742478 +rect 633270 741890 633600 741897 +rect 633270 741834 633726 741890 +rect 633270 741827 633600 741834 +rect 633270 741246 633600 741253 +rect 633270 741190 633726 741246 +rect 633270 741183 633600 741190 +rect 633270 740638 633726 740694 +rect 633347 740521 633411 740638 +rect 633539 740050 633603 740113 +rect 633270 739994 633726 740050 +rect 633539 739929 633603 739994 +rect 633270 739350 633726 739406 +rect 633443 739232 633507 739350 +rect 633270 738762 633600 738769 +rect 633270 738706 633726 738762 +rect 633270 738699 633600 738706 +rect 633270 738210 633600 738217 +rect 633270 738154 633726 738210 +rect 633270 738147 633600 738154 +rect 633270 737566 633600 737573 +rect 633270 737510 633726 737566 +rect 633270 737503 633600 737510 +rect 633270 736922 633600 736929 +rect 633270 736866 633726 736922 +rect 633270 736859 633600 736866 +rect 633270 736314 633726 736370 +rect 633270 735726 633600 735733 +rect 633270 735670 633726 735726 +rect 633270 735663 633600 735670 +rect 633270 735026 633726 735082 +rect 633270 734530 633600 734537 +rect 633270 734474 633726 734530 +rect 633270 734467 633600 734474 +rect 633270 733886 633600 733893 +rect 633270 733830 633726 733886 +rect 633270 733823 633600 733830 +rect 633270 733186 633726 733242 +rect 633270 732634 633726 732690 +rect 633270 732046 633600 732053 +rect 633270 731990 633726 732046 +rect 633270 731983 633600 731990 +rect 633443 731402 633507 731519 +rect 633270 731346 633726 731402 +rect -400 714724 56 714780 +rect -181 714607 -117 714724 +rect -274 714136 56 714143 +rect -400 714080 56 714136 +rect -274 714073 56 714080 +rect -400 713436 56 713492 +rect -400 712884 56 712940 +rect -274 712296 56 712303 +rect -400 712240 56 712296 +rect -274 712233 56 712240 +rect -274 711652 56 711659 +rect -400 711596 56 711652 +rect -274 711589 56 711596 +rect -400 711044 56 711100 +rect -274 710456 56 710463 +rect -400 710400 56 710456 +rect -274 710393 56 710400 +rect -400 709756 56 709812 +rect -274 709260 56 709267 +rect -400 709204 56 709260 +rect -274 709197 56 709204 +rect -274 708616 56 708623 +rect -400 708560 56 708616 +rect -274 708553 56 708560 +rect -274 707972 56 707979 +rect -400 707916 56 707972 +rect -274 707909 56 707916 +rect -274 707420 56 707427 +rect -400 707364 56 707420 +rect -274 707357 56 707364 +rect -181 706776 -117 706894 +rect -400 706720 56 706776 +rect -277 706132 -213 706197 +rect -400 706076 56 706132 +rect -277 706013 -213 706076 +rect -85 705488 -21 705605 +rect -400 705432 56 705488 +rect -274 704936 56 704943 +rect -400 704880 56 704936 +rect -274 704873 56 704880 +rect -274 704292 56 704299 +rect -400 704236 56 704292 +rect -274 704229 56 704236 +rect -274 703648 56 703655 +rect -400 703592 56 703648 +rect -274 703585 56 703592 +rect -274 703096 56 703103 +rect -400 703040 56 703096 +rect -274 703033 56 703040 +rect -181 702452 -117 702570 +rect -400 702396 56 702452 +rect -277 701808 -213 701864 +rect -400 701752 56 701808 +rect -277 701692 -213 701752 +rect 633270 701710 633726 701766 +rect -274 701256 56 701263 +rect -400 701200 56 701256 +rect -274 701193 56 701200 +rect 633270 701214 633600 701221 +rect 633270 701158 633726 701214 +rect 633270 701151 633600 701158 +rect -274 700612 56 700619 +rect -400 700556 56 700612 +rect -274 700549 56 700556 +rect 633270 700570 633600 700577 +rect 633270 700514 633726 700570 +rect 633270 700507 633600 700514 +rect -274 699968 56 699975 +rect -400 699912 56 699968 +rect -274 699905 56 699912 +rect 633270 699926 633600 699933 +rect 633270 699870 633726 699926 +rect 633270 699863 633600 699870 +rect -400 699360 56 699416 +rect 633539 699374 633603 699434 +rect 633270 699318 633726 699374 +rect 633539 699262 633603 699318 +rect 633270 698674 633726 698730 +rect 633443 698556 633507 698674 +rect 633270 698086 633600 698093 +rect 633270 698030 633726 698086 +rect 633270 698023 633600 698030 +rect 633270 697534 633600 697541 +rect 633270 697478 633726 697534 +rect 633270 697471 633600 697478 +rect 633270 696890 633600 696897 +rect 633270 696834 633726 696890 +rect 633270 696827 633600 696834 +rect 633270 696246 633600 696253 +rect 633270 696190 633726 696246 +rect 633270 696183 633600 696190 +rect 633270 695638 633726 695694 +rect 633347 695521 633411 695638 +rect 633539 695050 633603 695113 +rect 633270 694994 633726 695050 +rect 633539 694929 633603 694994 +rect 633270 694350 633726 694406 +rect 633443 694232 633507 694350 +rect 633270 693762 633600 693769 +rect 633270 693706 633726 693762 +rect 633270 693699 633600 693706 +rect 633270 693210 633600 693217 +rect 633270 693154 633726 693210 +rect 633270 693147 633600 693154 +rect 633270 692566 633600 692573 +rect 633270 692510 633726 692566 +rect 633270 692503 633600 692510 +rect 633270 691922 633600 691929 +rect 633270 691866 633726 691922 +rect 633270 691859 633600 691866 +rect 633270 691314 633726 691370 +rect 633270 690726 633600 690733 +rect 633270 690670 633726 690726 +rect 633270 690663 633600 690670 +rect 633270 690026 633726 690082 +rect 633270 689530 633600 689537 +rect 633270 689474 633726 689530 +rect 633270 689467 633600 689474 +rect 633270 688886 633600 688893 +rect 633270 688830 633726 688886 +rect 633270 688823 633600 688830 +rect 633270 688186 633726 688242 +rect 633270 687634 633726 687690 +rect 633270 687046 633600 687053 +rect 633270 686990 633726 687046 +rect 633270 686983 633600 686990 +rect 633443 686402 633507 686519 +rect 633270 686346 633726 686402 +rect -400 671524 56 671580 +rect -181 671407 -117 671524 +rect -274 670936 56 670943 +rect -400 670880 56 670936 +rect -274 670873 56 670880 +rect -400 670236 56 670292 +rect -400 669684 56 669740 +rect -274 669096 56 669103 +rect -400 669040 56 669096 +rect -274 669033 56 669040 +rect -274 668452 56 668459 +rect -400 668396 56 668452 +rect -274 668389 56 668396 +rect -400 667844 56 667900 +rect -274 667256 56 667263 +rect -400 667200 56 667256 +rect -274 667193 56 667200 +rect -400 666556 56 666612 +rect -274 666060 56 666067 +rect -400 666004 56 666060 +rect -274 665997 56 666004 +rect -274 665416 56 665423 +rect -400 665360 56 665416 +rect -274 665353 56 665360 +rect -274 664772 56 664779 +rect -400 664716 56 664772 +rect -274 664709 56 664716 +rect -274 664220 56 664227 +rect -400 664164 56 664220 +rect -274 664157 56 664164 +rect -181 663576 -117 663694 +rect -400 663520 56 663576 +rect -277 662932 -213 662997 +rect -400 662876 56 662932 +rect -277 662813 -213 662876 +rect -85 662288 -21 662405 +rect -400 662232 56 662288 +rect -274 661736 56 661743 +rect -400 661680 56 661736 +rect -274 661673 56 661680 +rect -274 661092 56 661099 +rect -400 661036 56 661092 +rect -274 661029 56 661036 +rect -274 660448 56 660455 +rect -400 660392 56 660448 +rect -274 660385 56 660392 +rect -274 659896 56 659903 +rect -400 659840 56 659896 +rect -274 659833 56 659840 +rect -181 659252 -117 659370 +rect -400 659196 56 659252 +rect -277 658608 -213 658664 +rect -400 658552 56 658608 +rect -277 658492 -213 658552 +rect -274 658056 56 658063 +rect -400 658000 56 658056 +rect -274 657993 56 658000 +rect -274 657412 56 657419 +rect -400 657356 56 657412 +rect -274 657349 56 657356 +rect -274 656768 56 656775 +rect -400 656712 56 656768 +rect -274 656705 56 656712 +rect 633270 656710 633726 656766 +rect -400 656160 56 656216 +rect 633270 656214 633600 656221 +rect 633270 656158 633726 656214 +rect 633270 656151 633600 656158 +rect 633270 655570 633600 655577 +rect 633270 655514 633726 655570 +rect 633270 655507 633600 655514 +rect 633270 654926 633600 654933 +rect 633270 654870 633726 654926 +rect 633270 654863 633600 654870 +rect 633539 654374 633603 654434 +rect 633270 654318 633726 654374 +rect 633539 654262 633603 654318 +rect 633270 653674 633726 653730 +rect 633443 653556 633507 653674 +rect 633270 653086 633600 653093 +rect 633270 653030 633726 653086 +rect 633270 653023 633600 653030 +rect 633270 652534 633600 652541 +rect 633270 652478 633726 652534 +rect 633270 652471 633600 652478 +rect 633270 651890 633600 651897 +rect 633270 651834 633726 651890 +rect 633270 651827 633600 651834 +rect 633270 651246 633600 651253 +rect 633270 651190 633726 651246 +rect 633270 651183 633600 651190 +rect 633270 650638 633726 650694 +rect 633347 650521 633411 650638 +rect 633539 650050 633603 650113 +rect 633270 649994 633726 650050 +rect 633539 649929 633603 649994 +rect 633270 649350 633726 649406 +rect 633443 649232 633507 649350 +rect 633270 648762 633600 648769 +rect 633270 648706 633726 648762 +rect 633270 648699 633600 648706 +rect 633270 648210 633600 648217 +rect 633270 648154 633726 648210 +rect 633270 648147 633600 648154 +rect 633270 647566 633600 647573 +rect 633270 647510 633726 647566 +rect 633270 647503 633600 647510 +rect 633270 646922 633600 646929 +rect 633270 646866 633726 646922 +rect 633270 646859 633600 646866 +rect 633270 646314 633726 646370 +rect 633270 645726 633600 645733 +rect 633270 645670 633726 645726 +rect 633270 645663 633600 645670 +rect 633270 645026 633726 645082 +rect 633270 644530 633600 644537 +rect 633270 644474 633726 644530 +rect 633270 644467 633600 644474 +rect 633270 643886 633600 643893 +rect 633270 643830 633726 643886 +rect 633270 643823 633600 643830 +rect 633270 643186 633726 643242 +rect 633270 642634 633726 642690 +rect 633270 642046 633600 642053 +rect 633270 641990 633726 642046 +rect 633270 641983 633600 641990 +rect 633443 641402 633507 641519 +rect 633270 641346 633726 641402 +rect -400 628324 56 628380 +rect -181 628207 -117 628324 +rect -274 627736 56 627743 +rect -400 627680 56 627736 +rect -274 627673 56 627680 +rect -400 627036 56 627092 +rect -400 626484 56 626540 +rect -274 625896 56 625903 +rect -400 625840 56 625896 +rect -274 625833 56 625840 +rect -274 625252 56 625259 +rect -400 625196 56 625252 +rect -274 625189 56 625196 +rect -400 624644 56 624700 +rect -274 624056 56 624063 +rect -400 624000 56 624056 +rect -274 623993 56 624000 +rect -400 623356 56 623412 +rect -274 622860 56 622867 +rect -400 622804 56 622860 +rect -274 622797 56 622804 +rect -274 622216 56 622223 +rect -400 622160 56 622216 +rect -274 622153 56 622160 +rect -274 621572 56 621579 +rect -400 621516 56 621572 +rect -274 621509 56 621516 +rect -274 621020 56 621027 +rect -400 620964 56 621020 +rect -274 620957 56 620964 +rect -181 620376 -117 620494 +rect -400 620320 56 620376 +rect -277 619732 -213 619797 +rect -400 619676 56 619732 +rect -277 619613 -213 619676 +rect -85 619088 -21 619205 +rect -400 619032 56 619088 +rect -274 618536 56 618543 +rect -400 618480 56 618536 +rect -274 618473 56 618480 +rect -274 617892 56 617899 +rect -400 617836 56 617892 +rect -274 617829 56 617836 +rect -274 617248 56 617255 +rect -400 617192 56 617248 +rect -274 617185 56 617192 +rect -274 616696 56 616703 +rect -400 616640 56 616696 +rect -274 616633 56 616640 +rect -181 616052 -117 616170 +rect -400 615996 56 616052 +rect -277 615408 -213 615464 +rect -400 615352 56 615408 +rect -277 615292 -213 615352 +rect -274 614856 56 614863 +rect -400 614800 56 614856 +rect -274 614793 56 614800 +rect -274 614212 56 614219 +rect -400 614156 56 614212 +rect -274 614149 56 614156 +rect -274 613568 56 613575 +rect -400 613512 56 613568 +rect -274 613505 56 613512 +rect -400 612960 56 613016 +rect 633270 611510 633726 611566 +rect 633270 611014 633600 611021 +rect 633270 610958 633726 611014 +rect 633270 610951 633600 610958 +rect 633270 610370 633600 610377 +rect 633270 610314 633726 610370 +rect 633270 610307 633600 610314 +rect 633270 609726 633600 609733 +rect 633270 609670 633726 609726 +rect 633270 609663 633600 609670 +rect 633539 609174 633603 609234 +rect 633270 609118 633726 609174 +rect 633539 609062 633603 609118 +rect 633270 608474 633726 608530 +rect 633443 608356 633507 608474 +rect 633270 607886 633600 607893 +rect 633270 607830 633726 607886 +rect 633270 607823 633600 607830 +rect 633270 607334 633600 607341 +rect 633270 607278 633726 607334 +rect 633270 607271 633600 607278 +rect 633270 606690 633600 606697 +rect 633270 606634 633726 606690 +rect 633270 606627 633600 606634 +rect 633270 606046 633600 606053 +rect 633270 605990 633726 606046 +rect 633270 605983 633600 605990 +rect 633270 605438 633726 605494 +rect 633347 605321 633411 605438 +rect 633539 604850 633603 604913 +rect 633270 604794 633726 604850 +rect 633539 604729 633603 604794 +rect 633270 604150 633726 604206 +rect 633443 604032 633507 604150 +rect 633270 603562 633600 603569 +rect 633270 603506 633726 603562 +rect 633270 603499 633600 603506 +rect 633270 603010 633600 603017 +rect 633270 602954 633726 603010 +rect 633270 602947 633600 602954 +rect 633270 602366 633600 602373 +rect 633270 602310 633726 602366 +rect 633270 602303 633600 602310 +rect 633270 601722 633600 601729 +rect 633270 601666 633726 601722 +rect 633270 601659 633600 601666 +rect 633270 601114 633726 601170 +rect 633270 600526 633600 600533 +rect 633270 600470 633726 600526 +rect 633270 600463 633600 600470 +rect 633270 599826 633726 599882 +rect 633270 599330 633600 599337 +rect 633270 599274 633726 599330 +rect 633270 599267 633600 599274 +rect 633270 598686 633600 598693 +rect 633270 598630 633726 598686 +rect 633270 598623 633600 598630 +rect 633270 597986 633726 598042 +rect 633270 597434 633726 597490 +rect 633270 596846 633600 596853 +rect 633270 596790 633726 596846 +rect 633270 596783 633600 596790 +rect 633443 596202 633507 596319 +rect 633270 596146 633726 596202 +rect -400 585124 56 585180 +rect -181 585007 -117 585124 +rect -274 584536 56 584543 +rect -400 584480 56 584536 +rect -274 584473 56 584480 +rect -400 583836 56 583892 +rect -400 583284 56 583340 +rect -274 582696 56 582703 +rect -400 582640 56 582696 +rect -274 582633 56 582640 +rect -274 582052 56 582059 +rect -400 581996 56 582052 +rect -274 581989 56 581996 +rect -400 581444 56 581500 +rect -274 580856 56 580863 +rect -400 580800 56 580856 +rect -274 580793 56 580800 +rect -400 580156 56 580212 +rect -274 579660 56 579667 +rect -400 579604 56 579660 +rect -274 579597 56 579604 +rect -274 579016 56 579023 +rect -400 578960 56 579016 +rect -274 578953 56 578960 +rect -274 578372 56 578379 +rect -400 578316 56 578372 +rect -274 578309 56 578316 +rect -274 577820 56 577827 +rect -400 577764 56 577820 +rect -274 577757 56 577764 +rect -181 577176 -117 577294 +rect -400 577120 56 577176 +rect -277 576532 -213 576597 +rect -400 576476 56 576532 +rect -277 576413 -213 576476 +rect -85 575888 -21 576005 +rect -400 575832 56 575888 +rect -274 575336 56 575343 +rect -400 575280 56 575336 +rect -274 575273 56 575280 +rect -274 574692 56 574699 +rect -400 574636 56 574692 +rect -274 574629 56 574636 +rect -274 574048 56 574055 +rect -400 573992 56 574048 +rect -274 573985 56 573992 +rect -274 573496 56 573503 +rect -400 573440 56 573496 +rect -274 573433 56 573440 +rect -181 572852 -117 572970 +rect -400 572796 56 572852 +rect -277 572208 -213 572264 +rect -400 572152 56 572208 +rect -277 572092 -213 572152 +rect -274 571656 56 571663 +rect -400 571600 56 571656 +rect -274 571593 56 571600 +rect -274 571012 56 571019 +rect -400 570956 56 571012 +rect -274 570949 56 570956 +rect -274 570368 56 570375 +rect -400 570312 56 570368 +rect -274 570305 56 570312 +rect -400 569760 56 569816 +rect 633270 566510 633726 566566 +rect 633270 566014 633600 566021 +rect 633270 565958 633726 566014 +rect 633270 565951 633600 565958 +rect 633270 565370 633600 565377 +rect 633270 565314 633726 565370 +rect 633270 565307 633600 565314 +rect 633270 564726 633600 564733 +rect 633270 564670 633726 564726 +rect 633270 564663 633600 564670 +rect 633539 564174 633603 564234 +rect 633270 564118 633726 564174 +rect 633539 564062 633603 564118 +rect 633270 563474 633726 563530 +rect 633443 563356 633507 563474 +rect 633270 562886 633600 562893 +rect 633270 562830 633726 562886 +rect 633270 562823 633600 562830 +rect 633270 562334 633600 562341 +rect 633270 562278 633726 562334 +rect 633270 562271 633600 562278 +rect 633270 561690 633600 561697 +rect 633270 561634 633726 561690 +rect 633270 561627 633600 561634 +rect 633270 561046 633600 561053 +rect 633270 560990 633726 561046 +rect 633270 560983 633600 560990 +rect 633270 560438 633726 560494 +rect 633347 560321 633411 560438 +rect 633539 559850 633603 559913 +rect 633270 559794 633726 559850 +rect 633539 559729 633603 559794 +rect 633270 559150 633726 559206 +rect 633443 559032 633507 559150 +rect 633270 558562 633600 558569 +rect 633270 558506 633726 558562 +rect 633270 558499 633600 558506 +rect 633270 558010 633600 558017 +rect 633270 557954 633726 558010 +rect 633270 557947 633600 557954 +rect 633270 557366 633600 557373 +rect 633270 557310 633726 557366 +rect 633270 557303 633600 557310 +rect 633270 556722 633600 556729 +rect 633270 556666 633726 556722 +rect 633270 556659 633600 556666 +rect 633270 556114 633726 556170 +rect 633270 555526 633600 555533 +rect 633270 555470 633726 555526 +rect 633270 555463 633600 555470 +rect 633270 554826 633726 554882 +rect 633270 554330 633600 554337 +rect 633270 554274 633726 554330 +rect 633270 554267 633600 554274 +rect 633270 553686 633600 553693 +rect 633270 553630 633726 553686 +rect 633270 553623 633600 553630 +rect 633270 552986 633726 553042 +rect 633270 552434 633726 552490 +rect 633270 551846 633600 551853 +rect 633270 551790 633726 551846 +rect 633270 551783 633600 551790 +rect 633443 551202 633507 551319 +rect 633270 551146 633726 551202 +rect -400 541924 56 541980 +rect -181 541807 -117 541924 +rect -274 541336 56 541343 +rect -400 541280 56 541336 +rect -274 541273 56 541280 +rect -400 540636 56 540692 +rect -400 540084 56 540140 +rect -274 539496 56 539503 +rect -400 539440 56 539496 +rect -274 539433 56 539440 +rect -274 538852 56 538859 +rect -400 538796 56 538852 +rect -274 538789 56 538796 +rect -400 538244 56 538300 +rect -274 537656 56 537663 +rect -400 537600 56 537656 +rect -274 537593 56 537600 +rect -400 536956 56 537012 +rect -274 536460 56 536467 +rect -400 536404 56 536460 +rect -274 536397 56 536404 +rect -274 535816 56 535823 +rect -400 535760 56 535816 +rect -274 535753 56 535760 +rect -274 535172 56 535179 +rect -400 535116 56 535172 +rect -274 535109 56 535116 +rect -274 534620 56 534627 +rect -400 534564 56 534620 +rect -274 534557 56 534564 +rect -181 533976 -117 534094 +rect -400 533920 56 533976 +rect -277 533332 -213 533397 +rect -400 533276 56 533332 +rect -277 533213 -213 533276 +rect -85 532688 -21 532805 +rect -400 532632 56 532688 +rect -274 532136 56 532143 +rect -400 532080 56 532136 +rect -274 532073 56 532080 +rect -274 531492 56 531499 +rect -400 531436 56 531492 +rect -274 531429 56 531436 +rect -274 530848 56 530855 +rect -400 530792 56 530848 +rect -274 530785 56 530792 +rect -274 530296 56 530303 +rect -400 530240 56 530296 +rect -274 530233 56 530240 +rect -181 529652 -117 529770 +rect -400 529596 56 529652 +rect -277 529008 -213 529064 +rect -400 528952 56 529008 +rect -277 528892 -213 528952 +rect -274 528456 56 528463 +rect -400 528400 56 528456 +rect -274 528393 56 528400 +rect -274 527812 56 527819 +rect -400 527756 56 527812 +rect -274 527749 56 527756 +rect -274 527168 56 527175 +rect -400 527112 56 527168 +rect -274 527105 56 527112 +rect -400 526560 56 526616 +rect 633270 521310 633726 521366 +rect 633270 520814 633600 520821 +rect 633270 520758 633726 520814 +rect 633270 520751 633600 520758 +rect 633270 520170 633600 520177 +rect 633270 520114 633726 520170 +rect 633270 520107 633600 520114 +rect 633270 519526 633600 519533 +rect 633270 519470 633726 519526 +rect 633270 519463 633600 519470 +rect 633539 518974 633603 519034 +rect 633270 518918 633726 518974 +rect 633539 518862 633603 518918 +rect 633270 518274 633726 518330 +rect 633443 518156 633507 518274 +rect 633270 517686 633600 517693 +rect 633270 517630 633726 517686 +rect 633270 517623 633600 517630 +rect 633270 517134 633600 517141 +rect 633270 517078 633726 517134 +rect 633270 517071 633600 517078 +rect 633270 516490 633600 516497 +rect 633270 516434 633726 516490 +rect 633270 516427 633600 516434 +rect 633270 515846 633600 515853 +rect 633270 515790 633726 515846 +rect 633270 515783 633600 515790 +rect 633270 515238 633726 515294 +rect 633347 515121 633411 515238 +rect 633539 514650 633603 514713 +rect 633270 514594 633726 514650 +rect 633539 514529 633603 514594 +rect 633270 513950 633726 514006 +rect 633443 513832 633507 513950 +rect 633270 513362 633600 513369 +rect 633270 513306 633726 513362 +rect 633270 513299 633600 513306 +rect 633270 512810 633600 512817 +rect 633270 512754 633726 512810 +rect 633270 512747 633600 512754 +rect 633270 512166 633600 512173 +rect 633270 512110 633726 512166 +rect 633270 512103 633600 512110 +rect 633270 511522 633600 511529 +rect 633270 511466 633726 511522 +rect 633270 511459 633600 511466 +rect 633270 510914 633726 510970 +rect 633270 510326 633600 510333 +rect 633270 510270 633726 510326 +rect 633270 510263 633600 510270 +rect 633270 509626 633726 509682 +rect 633270 509130 633600 509137 +rect 633270 509074 633726 509130 +rect 633270 509067 633600 509074 +rect 633270 508486 633600 508493 +rect 633270 508430 633726 508486 +rect 633270 508423 633600 508430 +rect 633270 507786 633726 507842 +rect 633270 507234 633726 507290 +rect 633270 506646 633600 506653 +rect 633270 506590 633726 506646 +rect 633270 506583 633600 506590 +rect 633443 506002 633507 506119 +rect 633270 505946 633726 506002 +rect -400 498724 56 498780 +rect -181 498607 -117 498724 +rect -274 498136 56 498143 +rect -400 498080 56 498136 +rect -274 498073 56 498080 +rect -400 497436 56 497492 +rect -400 496884 56 496940 +rect -274 496296 56 496303 +rect -400 496240 56 496296 +rect -274 496233 56 496240 +rect -274 495652 56 495659 +rect -400 495596 56 495652 +rect -274 495589 56 495596 +rect -400 495044 56 495100 +rect -274 494456 56 494463 +rect -400 494400 56 494456 +rect -274 494393 56 494400 +rect -400 493756 56 493812 +rect -274 493260 56 493267 +rect -400 493204 56 493260 +rect -274 493197 56 493204 +rect -274 492616 56 492623 +rect -400 492560 56 492616 +rect -274 492553 56 492560 +rect -274 491972 56 491979 +rect -400 491916 56 491972 +rect -274 491909 56 491916 +rect -274 491420 56 491427 +rect -400 491364 56 491420 +rect -274 491357 56 491364 +rect -181 490776 -117 490894 +rect -400 490720 56 490776 +rect -277 490132 -213 490197 +rect -400 490076 56 490132 +rect -277 490013 -213 490076 +rect -85 489488 -21 489605 +rect -400 489432 56 489488 +rect -274 488936 56 488943 +rect -400 488880 56 488936 +rect -274 488873 56 488880 +rect -274 488292 56 488299 +rect -400 488236 56 488292 +rect -274 488229 56 488236 +rect -274 487648 56 487655 +rect -400 487592 56 487648 +rect -274 487585 56 487592 +rect -274 487096 56 487103 +rect -400 487040 56 487096 +rect -274 487033 56 487040 +rect -181 486452 -117 486570 +rect -400 486396 56 486452 +rect -277 485808 -213 485864 +rect -400 485752 56 485808 +rect -277 485692 -213 485752 +rect -274 485256 56 485263 +rect -400 485200 56 485256 +rect -274 485193 56 485200 +rect -274 484612 56 484619 +rect -400 484556 56 484612 +rect -274 484549 56 484556 +rect -274 483968 56 483975 +rect -400 483912 56 483968 +rect -274 483905 56 483912 +rect -400 483360 56 483416 +rect -400 371124 56 371180 +rect -181 371007 -117 371124 +rect -274 370536 56 370543 +rect -400 370480 56 370536 +rect -274 370473 56 370480 +rect -400 369836 56 369892 +rect -400 369284 56 369340 +rect -274 368696 56 368703 +rect -400 368640 56 368696 +rect -274 368633 56 368640 +rect -274 368052 56 368059 +rect -400 367996 56 368052 +rect -274 367989 56 367996 +rect -400 367444 56 367500 +rect -274 366856 56 366863 +rect -400 366800 56 366856 +rect -274 366793 56 366800 +rect -400 366156 56 366212 +rect -274 365660 56 365667 +rect -400 365604 56 365660 +rect -274 365597 56 365604 +rect -274 365016 56 365023 +rect -400 364960 56 365016 +rect -274 364953 56 364960 +rect -274 364372 56 364379 +rect -400 364316 56 364372 +rect -274 364309 56 364316 +rect -274 363820 56 363827 +rect -400 363764 56 363820 +rect -274 363757 56 363764 +rect -181 363176 -117 363294 +rect -400 363120 56 363176 +rect -277 362532 -213 362597 +rect -400 362476 56 362532 +rect -277 362413 -213 362476 +rect -85 361888 -21 362005 +rect -400 361832 56 361888 +rect -274 361336 56 361343 +rect -400 361280 56 361336 +rect -274 361273 56 361280 +rect -274 360692 56 360699 +rect -400 360636 56 360692 +rect -274 360629 56 360636 +rect -274 360048 56 360055 +rect -400 359992 56 360048 +rect -274 359985 56 359992 +rect -274 359496 56 359503 +rect -400 359440 56 359496 +rect -274 359433 56 359440 +rect -181 358852 -117 358970 +rect -400 358796 56 358852 +rect -277 358208 -213 358264 +rect -400 358152 56 358208 +rect -277 358092 -213 358152 +rect -274 357656 56 357663 +rect -400 357600 56 357656 +rect -274 357593 56 357600 +rect -274 357012 56 357019 +rect -400 356956 56 357012 +rect -274 356949 56 356956 +rect -274 356368 56 356375 +rect -400 356312 56 356368 +rect -274 356305 56 356312 +rect -400 355760 56 355816 +rect 633270 344110 633726 344166 +rect 633270 343614 633600 343621 +rect 633270 343558 633726 343614 +rect 633270 343551 633600 343558 +rect 633270 342970 633600 342977 +rect 633270 342914 633726 342970 +rect 633270 342907 633600 342914 +rect 633270 342326 633600 342333 +rect 633270 342270 633726 342326 +rect 633270 342263 633600 342270 +rect 633539 341774 633603 341834 +rect 633270 341718 633726 341774 +rect 633539 341662 633603 341718 +rect 633270 341074 633726 341130 +rect 633443 340956 633507 341074 +rect 633270 340486 633600 340493 +rect 633270 340430 633726 340486 +rect 633270 340423 633600 340430 +rect 633270 339934 633600 339941 +rect 633270 339878 633726 339934 +rect 633270 339871 633600 339878 +rect 633270 339290 633600 339297 +rect 633270 339234 633726 339290 +rect 633270 339227 633600 339234 +rect 633270 338646 633600 338653 +rect 633270 338590 633726 338646 +rect 633270 338583 633600 338590 +rect 633270 338038 633726 338094 +rect 633347 337921 633411 338038 +rect 633539 337450 633603 337513 +rect 633270 337394 633726 337450 +rect 633539 337329 633603 337394 +rect 633270 336750 633726 336806 +rect 633443 336632 633507 336750 +rect 633270 336162 633600 336169 +rect 633270 336106 633726 336162 +rect 633270 336099 633600 336106 +rect 633270 335610 633600 335617 +rect 633270 335554 633726 335610 +rect 633270 335547 633600 335554 +rect 633270 334966 633600 334973 +rect 633270 334910 633726 334966 +rect 633270 334903 633600 334910 +rect 633270 334322 633600 334329 +rect 633270 334266 633726 334322 +rect 633270 334259 633600 334266 +rect 633270 333714 633726 333770 +rect 633270 333126 633600 333133 +rect 633270 333070 633726 333126 +rect 633270 333063 633600 333070 +rect 633270 332426 633726 332482 +rect 633270 331874 633726 331930 +rect 633270 331286 633600 331293 +rect 633270 331230 633726 331286 +rect 633270 331223 633600 331230 +rect 633270 330586 633726 330642 +rect 633270 330034 633726 330090 +rect 633270 329446 633600 329453 +rect 633270 329390 633726 329446 +rect 633270 329383 633600 329390 +rect 633443 328802 633507 328919 +rect 633270 328746 633726 328802 +rect -400 327924 56 327980 +rect -181 327807 -117 327924 +rect -274 327336 56 327343 +rect -400 327280 56 327336 +rect -274 327273 56 327280 +rect -400 326636 56 326692 +rect -400 326084 56 326140 +rect -274 325496 56 325503 +rect -400 325440 56 325496 +rect -274 325433 56 325440 +rect -274 324852 56 324859 +rect -400 324796 56 324852 +rect -274 324789 56 324796 +rect -400 324244 56 324300 +rect -274 323656 56 323663 +rect -400 323600 56 323656 +rect -274 323593 56 323600 +rect -400 322956 56 323012 +rect -274 322460 56 322467 +rect -400 322404 56 322460 +rect -274 322397 56 322404 +rect -274 321816 56 321823 +rect -400 321760 56 321816 +rect -274 321753 56 321760 +rect -274 321172 56 321179 +rect -400 321116 56 321172 +rect -274 321109 56 321116 +rect -274 320620 56 320627 +rect -400 320564 56 320620 +rect -274 320557 56 320564 +rect -181 319976 -117 320094 +rect -400 319920 56 319976 +rect -277 319332 -213 319397 +rect -400 319276 56 319332 +rect -277 319213 -213 319276 +rect -85 318688 -21 318805 +rect -400 318632 56 318688 +rect -274 318136 56 318143 +rect -400 318080 56 318136 +rect -274 318073 56 318080 +rect -274 317492 56 317499 +rect -400 317436 56 317492 +rect -274 317429 56 317436 +rect -274 316848 56 316855 +rect -400 316792 56 316848 +rect -274 316785 56 316792 +rect -274 316296 56 316303 +rect -400 316240 56 316296 +rect -274 316233 56 316240 +rect -181 315652 -117 315770 +rect -400 315596 56 315652 +rect -277 315008 -213 315064 +rect -400 314952 56 315008 +rect -277 314892 -213 314952 +rect -274 314456 56 314463 +rect -400 314400 56 314456 +rect -274 314393 56 314400 +rect -274 313812 56 313819 +rect -400 313756 56 313812 +rect -274 313749 56 313756 +rect -274 313168 56 313175 +rect -400 313112 56 313168 +rect -274 313105 56 313112 +rect -400 312560 56 312616 +rect 633270 298910 633726 298966 +rect 633270 298414 633600 298421 +rect 633270 298358 633726 298414 +rect 633270 298351 633600 298358 +rect 633270 297770 633600 297777 +rect 633270 297714 633726 297770 +rect 633270 297707 633600 297714 +rect 633270 297126 633600 297133 +rect 633270 297070 633726 297126 +rect 633270 297063 633600 297070 +rect 633539 296574 633603 296634 +rect 633270 296518 633726 296574 +rect 633539 296462 633603 296518 +rect 633270 295874 633726 295930 +rect 633443 295756 633507 295874 +rect 633270 295286 633600 295293 +rect 633270 295230 633726 295286 +rect 633270 295223 633600 295230 +rect 633270 294734 633600 294741 +rect 633270 294678 633726 294734 +rect 633270 294671 633600 294678 +rect 633270 294090 633600 294097 +rect 633270 294034 633726 294090 +rect 633270 294027 633600 294034 +rect 633270 293446 633600 293453 +rect 633270 293390 633726 293446 +rect 633270 293383 633600 293390 +rect 633270 292838 633726 292894 +rect 633347 292721 633411 292838 +rect 633539 292250 633603 292313 +rect 633270 292194 633726 292250 +rect 633539 292129 633603 292194 +rect 633270 291550 633726 291606 +rect 633443 291432 633507 291550 +rect 633270 290962 633600 290969 +rect 633270 290906 633726 290962 +rect 633270 290899 633600 290906 +rect 633270 290410 633600 290417 +rect 633270 290354 633726 290410 +rect 633270 290347 633600 290354 +rect 633270 289766 633600 289773 +rect 633270 289710 633726 289766 +rect 633270 289703 633600 289710 +rect 633270 289122 633600 289129 +rect 633270 289066 633726 289122 +rect 633270 289059 633600 289066 +rect 633270 288514 633726 288570 +rect 633270 287926 633600 287933 +rect 633270 287870 633726 287926 +rect 633270 287863 633600 287870 +rect 633270 287226 633726 287282 +rect 633270 286674 633726 286730 +rect 633270 286086 633600 286093 +rect 633270 286030 633726 286086 +rect 633270 286023 633600 286030 +rect 633270 285386 633726 285442 +rect 633270 284834 633726 284890 +rect -400 284724 56 284780 +rect -181 284607 -117 284724 +rect 633270 284246 633600 284253 +rect 633270 284190 633726 284246 +rect 633270 284183 633600 284190 +rect -274 284136 56 284143 +rect -400 284080 56 284136 +rect -274 284073 56 284080 +rect 633443 283602 633507 283719 +rect 633270 283546 633726 283602 +rect -400 283436 56 283492 +rect -400 282884 56 282940 +rect -274 282296 56 282303 +rect -400 282240 56 282296 +rect -274 282233 56 282240 +rect -274 281652 56 281659 +rect -400 281596 56 281652 +rect -274 281589 56 281596 +rect -400 281044 56 281100 +rect -274 280456 56 280463 +rect -400 280400 56 280456 +rect -274 280393 56 280400 +rect -400 279756 56 279812 +rect -274 279260 56 279267 +rect -400 279204 56 279260 +rect -274 279197 56 279204 +rect -274 278616 56 278623 +rect -400 278560 56 278616 +rect -274 278553 56 278560 +rect -274 277972 56 277979 +rect -400 277916 56 277972 +rect -274 277909 56 277916 +rect -274 277420 56 277427 +rect -400 277364 56 277420 +rect -274 277357 56 277364 +rect -181 276776 -117 276894 +rect -400 276720 56 276776 +rect -277 276132 -213 276197 +rect -400 276076 56 276132 +rect -277 276013 -213 276076 +rect -85 275488 -21 275605 +rect -400 275432 56 275488 +rect -274 274936 56 274943 +rect -400 274880 56 274936 +rect -274 274873 56 274880 +rect -274 274292 56 274299 +rect -400 274236 56 274292 +rect -274 274229 56 274236 +rect -274 273648 56 273655 +rect -400 273592 56 273648 +rect -274 273585 56 273592 +rect -274 273096 56 273103 +rect -400 273040 56 273096 +rect -274 273033 56 273040 +rect -181 272452 -117 272570 +rect -400 272396 56 272452 +rect -277 271808 -213 271864 +rect -400 271752 56 271808 +rect -277 271692 -213 271752 +rect -274 271256 56 271263 +rect -400 271200 56 271256 +rect -274 271193 56 271200 +rect -274 270612 56 270619 +rect -400 270556 56 270612 +rect -274 270549 56 270556 +rect -274 269968 56 269975 +rect -400 269912 56 269968 +rect -274 269905 56 269912 +rect -400 269360 56 269416 +rect 633270 253910 633726 253966 +rect 633270 253414 633600 253421 +rect 633270 253358 633726 253414 +rect 633270 253351 633600 253358 +rect 633270 252770 633600 252777 +rect 633270 252714 633726 252770 +rect 633270 252707 633600 252714 +rect 633270 252126 633600 252133 +rect 633270 252070 633726 252126 +rect 633270 252063 633600 252070 +rect 633539 251574 633603 251634 +rect 633270 251518 633726 251574 +rect 633539 251462 633603 251518 +rect 633270 250874 633726 250930 +rect 633443 250756 633507 250874 +rect 633270 250286 633600 250293 +rect 633270 250230 633726 250286 +rect 633270 250223 633600 250230 +rect 633270 249734 633600 249741 +rect 633270 249678 633726 249734 +rect 633270 249671 633600 249678 +rect 633270 249090 633600 249097 +rect 633270 249034 633726 249090 +rect 633270 249027 633600 249034 +rect 633270 248446 633600 248453 +rect 633270 248390 633726 248446 +rect 633270 248383 633600 248390 +rect 633270 247838 633726 247894 +rect 633347 247721 633411 247838 +rect 633539 247250 633603 247313 +rect 633270 247194 633726 247250 +rect 633539 247129 633603 247194 +rect 633270 246550 633726 246606 +rect 633443 246432 633507 246550 +rect 633270 245962 633600 245969 +rect 633270 245906 633726 245962 +rect 633270 245899 633600 245906 +rect 633270 245410 633600 245417 +rect 633270 245354 633726 245410 +rect 633270 245347 633600 245354 +rect 633270 244766 633600 244773 +rect 633270 244710 633726 244766 +rect 633270 244703 633600 244710 +rect 633270 244122 633600 244129 +rect 633270 244066 633726 244122 +rect 633270 244059 633600 244066 +rect 633270 243514 633726 243570 +rect 633270 242926 633600 242933 +rect 633270 242870 633726 242926 +rect 633270 242863 633600 242870 +rect 633270 242226 633726 242282 +rect 633270 241674 633726 241730 +rect -400 241524 56 241580 +rect -181 241407 -117 241524 +rect 633270 241086 633600 241093 +rect 633270 241030 633726 241086 +rect 633270 241023 633600 241030 +rect -274 240936 56 240943 +rect -400 240880 56 240936 +rect -274 240873 56 240880 +rect 633270 240386 633726 240442 +rect -400 240236 56 240292 +rect 633270 239834 633726 239890 +rect -400 239684 56 239740 +rect 633270 239246 633600 239253 +rect 633270 239190 633726 239246 +rect 633270 239183 633600 239190 +rect -274 239096 56 239103 +rect -400 239040 56 239096 +rect -274 239033 56 239040 +rect 633443 238602 633507 238719 +rect 633270 238546 633726 238602 +rect -274 238452 56 238459 +rect -400 238396 56 238452 +rect -274 238389 56 238396 +rect -400 237844 56 237900 +rect -274 237256 56 237263 +rect -400 237200 56 237256 +rect -274 237193 56 237200 +rect -400 236556 56 236612 +rect -274 236060 56 236067 +rect -400 236004 56 236060 +rect -274 235997 56 236004 +rect -274 235416 56 235423 +rect -400 235360 56 235416 +rect -274 235353 56 235360 +rect -274 234772 56 234779 +rect -400 234716 56 234772 +rect -274 234709 56 234716 +rect -274 234220 56 234227 +rect -400 234164 56 234220 +rect -274 234157 56 234164 +rect -181 233576 -117 233694 +rect -400 233520 56 233576 +rect -277 232932 -213 232997 +rect -400 232876 56 232932 +rect -277 232813 -213 232876 +rect -85 232288 -21 232405 +rect -400 232232 56 232288 +rect -274 231736 56 231743 +rect -400 231680 56 231736 +rect -274 231673 56 231680 +rect -274 231092 56 231099 +rect -400 231036 56 231092 +rect -274 231029 56 231036 +rect -274 230448 56 230455 +rect -400 230392 56 230448 +rect -274 230385 56 230392 +rect -274 229896 56 229903 +rect -400 229840 56 229896 +rect -274 229833 56 229840 +rect -181 229252 -117 229370 +rect -400 229196 56 229252 +rect -277 228608 -213 228664 +rect -400 228552 56 228608 +rect -277 228492 -213 228552 +rect -274 228056 56 228063 +rect -400 228000 56 228056 +rect -274 227993 56 228000 +rect -274 227412 56 227419 +rect -400 227356 56 227412 +rect -274 227349 56 227356 +rect -274 226768 56 226775 +rect -400 226712 56 226768 +rect -274 226705 56 226712 +rect -400 226160 56 226216 +rect 633270 208910 633726 208966 +rect 633270 208414 633600 208421 +rect 633270 208358 633726 208414 +rect 633270 208351 633600 208358 +rect 633270 207770 633600 207777 +rect 633270 207714 633726 207770 +rect 633270 207707 633600 207714 +rect 633270 207126 633600 207133 +rect 633270 207070 633726 207126 +rect 633270 207063 633600 207070 +rect 633539 206574 633603 206634 +rect 633270 206518 633726 206574 +rect 633539 206462 633603 206518 +rect 633270 205874 633726 205930 +rect 633443 205756 633507 205874 +rect 633270 205286 633600 205293 +rect 633270 205230 633726 205286 +rect 633270 205223 633600 205230 +rect 633270 204734 633600 204741 +rect 633270 204678 633726 204734 +rect 633270 204671 633600 204678 +rect 633270 204090 633600 204097 +rect 633270 204034 633726 204090 +rect 633270 204027 633600 204034 +rect 633270 203446 633600 203453 +rect 633270 203390 633726 203446 +rect 633270 203383 633600 203390 +rect 633270 202838 633726 202894 +rect 633347 202721 633411 202838 +rect 633539 202250 633603 202313 +rect 633270 202194 633726 202250 +rect 633539 202129 633603 202194 +rect 633270 201550 633726 201606 +rect 633443 201432 633507 201550 +rect 633270 200962 633600 200969 +rect 633270 200906 633726 200962 +rect 633270 200899 633600 200906 +rect 633270 200410 633600 200417 +rect 633270 200354 633726 200410 +rect 633270 200347 633600 200354 +rect 633270 199766 633600 199773 +rect 633270 199710 633726 199766 +rect 633270 199703 633600 199710 +rect 633270 199122 633600 199129 +rect 633270 199066 633726 199122 +rect 633270 199059 633600 199066 +rect 633270 198514 633726 198570 +rect -400 198324 56 198380 +rect -181 198207 -117 198324 +rect 633270 197926 633600 197933 +rect 633270 197870 633726 197926 +rect 633270 197863 633600 197870 +rect -274 197736 56 197743 +rect -400 197680 56 197736 +rect -274 197673 56 197680 +rect 633270 197226 633726 197282 +rect -400 197036 56 197092 +rect 633270 196674 633726 196730 +rect -400 196484 56 196540 +rect 633270 196086 633600 196093 +rect 633270 196030 633726 196086 +rect 633270 196023 633600 196030 +rect -274 195896 56 195903 +rect -400 195840 56 195896 +rect -274 195833 56 195840 +rect 633270 195386 633726 195442 +rect -400 195196 56 195252 +rect 633270 194834 633726 194890 +rect -400 194644 56 194700 +rect 633270 194246 633600 194253 +rect 633270 194190 633726 194246 +rect 633270 194183 633600 194190 +rect -274 194056 56 194063 +rect -400 194000 56 194056 +rect -274 193993 56 194000 +rect 633443 193602 633507 193719 +rect 633270 193546 633726 193602 +rect -400 193356 56 193412 +rect -274 192860 56 192867 +rect -400 192804 56 192860 +rect -274 192797 56 192804 +rect -274 192216 56 192223 +rect -400 192160 56 192216 +rect -274 192153 56 192160 +rect -274 191572 56 191579 +rect -400 191516 56 191572 +rect -274 191509 56 191516 +rect -274 191020 56 191027 +rect -400 190964 56 191020 +rect -274 190957 56 190964 +rect -181 190376 -117 190494 +rect -400 190320 56 190376 +rect -277 189732 -213 189797 +rect -400 189676 56 189732 +rect -277 189613 -213 189676 +rect -85 189088 -21 189205 +rect -400 189032 56 189088 +rect -274 188536 56 188543 +rect -400 188480 56 188536 +rect -274 188473 56 188480 +rect -274 187892 56 187899 +rect -400 187836 56 187892 +rect -274 187829 56 187836 +rect -274 187248 56 187255 +rect -400 187192 56 187248 +rect -274 187185 56 187192 +rect -274 186696 56 186703 +rect -400 186640 56 186696 +rect -274 186633 56 186640 +rect -181 186052 -117 186170 +rect -400 185996 56 186052 +rect -277 185408 -213 185464 +rect -400 185352 56 185408 +rect -277 185292 -213 185352 +rect -274 184856 56 184863 +rect -400 184800 56 184856 +rect -274 184793 56 184800 +rect -274 184212 56 184219 +rect -400 184156 56 184212 +rect -274 184149 56 184156 +rect -274 183568 56 183575 +rect -400 183512 56 183568 +rect -274 183505 56 183512 +rect -400 182960 56 183016 +rect 633270 163710 633726 163766 +rect 633270 163214 633600 163221 +rect 633270 163158 633726 163214 +rect 633270 163151 633600 163158 +rect 633270 162570 633600 162577 +rect 633270 162514 633726 162570 +rect 633270 162507 633600 162514 +rect 633270 161926 633600 161933 +rect 633270 161870 633726 161926 +rect 633270 161863 633600 161870 +rect 633539 161374 633603 161434 +rect 633270 161318 633726 161374 +rect 633539 161262 633603 161318 +rect 633270 160674 633726 160730 +rect 633443 160556 633507 160674 +rect 633270 160086 633600 160093 +rect 633270 160030 633726 160086 +rect 633270 160023 633600 160030 +rect 633270 159534 633600 159541 +rect 633270 159478 633726 159534 +rect 633270 159471 633600 159478 +rect 633270 158890 633600 158897 +rect 633270 158834 633726 158890 +rect 633270 158827 633600 158834 +rect 633270 158246 633600 158253 +rect 633270 158190 633726 158246 +rect 633270 158183 633600 158190 +rect 633270 157638 633726 157694 +rect 633347 157521 633411 157638 +rect 633539 157050 633603 157113 +rect 633270 156994 633726 157050 +rect 633539 156929 633603 156994 +rect 633270 156350 633726 156406 +rect 633443 156232 633507 156350 +rect 633270 155762 633600 155769 +rect 633270 155706 633726 155762 +rect 633270 155699 633600 155706 +rect 633270 155210 633600 155217 +rect -400 155124 56 155180 +rect 633270 155154 633726 155210 +rect 633270 155147 633600 155154 +rect -181 155007 -117 155124 +rect 633270 154566 633600 154573 +rect -274 154536 56 154543 +rect -400 154480 56 154536 +rect 633270 154510 633726 154566 +rect 633270 154503 633600 154510 +rect -274 154473 56 154480 +rect 633270 153922 633600 153929 +rect -400 153836 56 153892 +rect 633270 153866 633726 153922 +rect 633270 153859 633600 153866 +rect -400 153284 56 153340 +rect 633270 153314 633726 153370 +rect 633270 152726 633600 152733 +rect -274 152696 56 152703 +rect -400 152640 56 152696 +rect 633270 152670 633726 152726 +rect 633270 152663 633600 152670 +rect -274 152633 56 152640 +rect -400 151996 56 152052 +rect 633270 152026 633726 152082 +rect -400 151444 56 151500 +rect 633270 151474 633726 151530 +rect 633270 150886 633600 150893 +rect -274 150856 56 150863 +rect -400 150800 56 150856 +rect 633270 150830 633726 150886 +rect 633270 150823 633600 150830 +rect -274 150793 56 150800 +rect -400 150156 56 150212 +rect 633270 150186 633726 150242 +rect -274 149660 56 149667 +rect -400 149604 56 149660 +rect 633270 149634 633726 149690 +rect -274 149597 56 149604 +rect 633270 149046 633600 149053 +rect -274 149016 56 149023 +rect -400 148960 56 149016 +rect 633270 148990 633726 149046 +rect 633270 148983 633600 148990 +rect -274 148953 56 148960 +rect 633443 148402 633507 148519 +rect -274 148372 56 148379 +rect -400 148316 56 148372 +rect 633270 148346 633726 148402 +rect -274 148309 56 148316 +rect -274 147820 56 147827 +rect -400 147764 56 147820 +rect -274 147757 56 147764 +rect -181 147176 -117 147294 +rect -400 147120 56 147176 +rect -277 146532 -213 146597 +rect -400 146476 56 146532 +rect -277 146413 -213 146476 +rect -85 145888 -21 146005 +rect -400 145832 56 145888 +rect -274 145336 56 145343 +rect -400 145280 56 145336 +rect -274 145273 56 145280 +rect -274 144692 56 144699 +rect -400 144636 56 144692 +rect -274 144629 56 144636 +rect -274 144048 56 144055 +rect -400 143992 56 144048 +rect -274 143985 56 143992 +rect -274 143496 56 143503 +rect -400 143440 56 143496 +rect -274 143433 56 143440 +rect -181 142852 -117 142970 +rect -400 142796 56 142852 +rect -277 142208 -213 142264 +rect -400 142152 56 142208 +rect -277 142092 -213 142152 +rect -274 141656 56 141663 +rect -400 141600 56 141656 +rect -274 141593 56 141600 +rect -274 141012 56 141019 +rect -400 140956 56 141012 +rect -274 140949 56 140956 +rect -274 140368 56 140375 +rect -400 140312 56 140368 +rect -274 140305 56 140312 +rect -400 139760 56 139816 +rect 633270 118710 633726 118766 +rect 633270 118214 633600 118221 +rect 633270 118158 633726 118214 +rect 633270 118151 633600 118158 +rect 633270 117570 633600 117577 +rect 633270 117514 633726 117570 +rect 633270 117507 633600 117514 +rect 633270 116926 633600 116933 +rect 633270 116870 633726 116926 +rect 633270 116863 633600 116870 +rect 633539 116374 633603 116434 +rect 633270 116318 633726 116374 +rect 633539 116262 633603 116318 +rect 633270 115674 633726 115730 +rect 633443 115556 633507 115674 +rect 633270 115086 633600 115093 +rect 633270 115030 633726 115086 +rect 633270 115023 633600 115030 +rect 633270 114534 633600 114541 +rect 633270 114478 633726 114534 +rect 633270 114471 633600 114478 +rect 633270 113890 633600 113897 +rect 633270 113834 633726 113890 +rect 633270 113827 633600 113834 +rect 633270 113246 633600 113253 +rect 633270 113190 633726 113246 +rect 633270 113183 633600 113190 +rect 633270 112638 633726 112694 +rect 633347 112521 633411 112638 +rect 633539 112050 633603 112113 +rect 633270 111994 633726 112050 +rect 633539 111929 633603 111994 +rect 633270 111350 633726 111406 +rect 633443 111232 633507 111350 +rect 633270 110762 633600 110769 +rect 633270 110706 633726 110762 +rect 633270 110699 633600 110706 +rect 633270 110210 633600 110217 +rect 633270 110154 633726 110210 +rect 633270 110147 633600 110154 +rect 633270 109566 633600 109573 +rect 633270 109510 633726 109566 +rect 633270 109503 633600 109510 +rect 633270 108922 633600 108929 +rect 633270 108866 633726 108922 +rect 633270 108859 633600 108866 +rect 633270 108314 633726 108370 +rect 633270 107726 633600 107733 +rect 633270 107670 633726 107726 +rect 633270 107663 633600 107670 +rect 633270 107026 633726 107082 +rect 633270 106474 633726 106530 +rect 633270 105886 633600 105893 +rect 633270 105830 633726 105886 +rect 633270 105823 633600 105830 +rect 633270 105242 633600 105249 +rect 633270 105186 633726 105242 +rect 633270 105179 633600 105186 +rect 633270 104634 633726 104690 +rect 633270 104046 633600 104053 +rect 633270 103990 633726 104046 +rect 633270 103983 633600 103990 +rect 633443 103402 633507 103519 +rect 633270 103346 633726 103402 +rect 633270 73510 633726 73566 +rect 633270 73014 633600 73021 +rect 633270 72958 633726 73014 +rect 633270 72951 633600 72958 +rect 633270 72370 633600 72377 +rect 633270 72314 633726 72370 +rect 633270 72307 633600 72314 +rect 633270 71726 633600 71733 +rect 633270 71670 633726 71726 +rect 633270 71663 633600 71670 +rect 633539 71174 633603 71234 +rect 633270 71118 633726 71174 +rect 633539 71062 633603 71118 +rect 633270 70474 633726 70530 +rect 633443 70356 633507 70474 +rect 633270 69886 633600 69893 +rect 633270 69830 633726 69886 +rect 633270 69823 633600 69830 +rect 633270 69334 633600 69341 +rect 633270 69278 633726 69334 +rect 633270 69271 633600 69278 +rect 633270 68690 633600 68697 +rect 633270 68634 633726 68690 +rect 633270 68627 633600 68634 +rect 633270 68046 633600 68053 +rect 633270 67990 633726 68046 +rect 633270 67983 633600 67990 +rect 633270 67438 633726 67494 +rect 633347 67321 633411 67438 +rect 633539 66850 633603 66913 +rect 633270 66794 633726 66850 +rect 633539 66729 633603 66794 +rect 633270 66150 633726 66206 +rect 633443 66032 633507 66150 +rect 633270 65562 633600 65569 +rect 633270 65506 633726 65562 +rect 633270 65499 633600 65506 +rect 633270 65010 633600 65017 +rect 633270 64954 633726 65010 +rect 633270 64947 633600 64954 +rect 633270 64366 633600 64373 +rect 633270 64310 633726 64366 +rect 633270 64303 633600 64310 +rect 633270 63722 633600 63729 +rect 633270 63666 633726 63722 +rect 633270 63659 633600 63666 +rect 633270 63114 633726 63170 +rect 633270 62526 633600 62533 +rect 633270 62470 633726 62526 +rect 633270 62463 633600 62470 +rect 633270 61826 633726 61882 +rect 633270 61274 633726 61330 +rect 633270 60686 633600 60693 +rect 633270 60630 633726 60686 +rect 633270 60623 633600 60630 +rect 633270 59986 633726 60042 +rect 633270 59434 633726 59490 +rect 633270 58846 633600 58853 +rect 633270 58790 633726 58846 +rect 633270 58783 633600 58790 +rect 633443 58202 633507 58319 +rect 633270 58146 633726 58202 +rect -400 53602 -292 53658 +rect -400 53378 -292 53434 +rect -400 53154 -292 53210 +rect 99571 -90 99637 56 +rect 99573 -400 99634 -90 +rect 110164 -400 110220 56 +rect 144546 -117 144602 56 +rect 144546 -181 144719 -117 +rect 144546 -400 144602 -181 +rect 145190 -424 145246 56 +rect 145834 -400 145890 56 +rect 146386 -400 146442 56 +rect 147030 -424 147086 56 +rect 147674 -424 147730 56 +rect 148226 -400 148282 56 +rect 148870 -424 148926 56 +rect 149514 -424 149570 56 +rect 150066 -274 150123 56 +rect 150066 -424 150122 -274 +rect 150710 -424 150766 56 +rect 151354 -424 151410 56 +rect 151906 -424 151962 56 +rect 152550 -117 152606 56 +rect 152432 -181 152606 -117 +rect 152550 -400 152606 -181 +rect 153194 -213 153250 56 +rect 153838 -21 153894 56 +rect 153721 -85 153894 -21 +rect 153129 -277 153313 -213 +rect 153194 -400 153250 -277 +rect 153838 -400 153894 -85 +rect 154390 -424 154446 56 +rect 155034 -424 155090 56 +rect 155678 -424 155734 56 +rect 156230 -424 156286 56 +rect 156874 -117 156930 56 +rect 156756 -181 156930 -117 +rect 156874 -400 156930 -181 +rect 157518 -213 157574 56 +rect 157462 -277 157634 -213 +rect 157518 -400 157574 -277 +rect 158070 -424 158126 56 +rect 158714 -424 158770 56 +rect 159358 -424 159414 56 +rect 159910 -424 159966 56 +rect 160580 -400 160632 56 +rect 163791 -400 163843 56 +rect 253146 -117 253202 56 +rect 253146 -181 253319 -117 +rect 253146 -400 253202 -181 +rect 253790 -424 253846 56 +rect 254434 -400 254490 56 +rect 254986 -400 255042 56 +rect 255630 -424 255686 56 +rect 256274 -424 256330 56 +rect 256826 -400 256882 56 +rect 257470 -424 257526 56 +rect 258114 -424 258170 56 +rect 258666 -424 258722 56 +rect 259310 -424 259366 56 +rect 259954 -424 260010 56 +rect 260506 -424 260562 56 +rect 261150 -117 261206 56 +rect 261032 -181 261206 -117 +rect 261150 -400 261206 -181 +rect 261794 -213 261850 56 +rect 262438 -21 262494 56 +rect 262321 -85 262494 -21 +rect 261729 -277 261913 -213 +rect 261794 -400 261850 -277 +rect 262438 -400 262494 -85 +rect 262990 -424 263046 56 +rect 263634 -424 263690 56 +rect 264278 -424 264334 56 +rect 264830 -424 264886 56 +rect 265474 -117 265530 56 +rect 265356 -181 265530 -117 +rect 265474 -400 265530 -181 +rect 266118 -213 266174 56 +rect 266062 -277 266234 -213 +rect 266118 -400 266174 -277 +rect 266670 -424 266726 56 +rect 267314 -424 267370 56 +rect 267958 -424 268014 56 +rect 268510 -424 268566 56 +rect 268816 -363 268880 -311 +rect 268824 -400 268872 -363 +rect 269180 -400 269232 56 +rect 273360 -400 273412 56 +rect 307946 -117 308002 56 +rect 307946 -181 308119 -117 +rect 307946 -400 308002 -181 +rect 308590 -424 308646 56 +rect 309234 -400 309290 56 +rect 309786 -400 309842 56 +rect 310430 -424 310486 56 +rect 311074 -424 311130 56 +rect 311626 -400 311682 56 +rect 312270 -424 312326 56 +rect 312914 -424 312970 56 +rect 313466 -424 313522 56 +rect 314110 -424 314166 56 +rect 314754 -424 314810 56 +rect 315306 -424 315362 56 +rect 315950 -117 316006 56 +rect 315832 -181 316006 -117 +rect 315950 -400 316006 -181 +rect 316594 -213 316650 56 +rect 317238 -21 317294 56 +rect 317121 -85 317294 -21 +rect 316529 -277 316713 -213 +rect 316594 -400 316650 -277 +rect 317238 -400 317294 -85 +rect 317790 -424 317846 56 +rect 318434 -424 318490 56 +rect 319078 -424 319134 56 +rect 319630 -424 319686 56 +rect 320274 -117 320330 56 +rect 320156 -181 320330 -117 +rect 320274 -400 320330 -181 +rect 320918 -213 320974 56 +rect 320862 -277 321034 -213 +rect 320918 -400 320974 -277 +rect 321470 -424 321526 56 +rect 322114 -424 322170 56 +rect 322758 -424 322814 56 +rect 323310 -424 323366 56 +rect 323616 -363 323680 -311 +rect 323624 -400 323672 -363 +rect 323980 -400 324032 56 +rect 328165 -400 328217 34 +rect 362746 -117 362802 56 +rect 362746 -181 362919 -117 +rect 362746 -400 362802 -181 +rect 363390 -424 363446 56 +rect 364034 -400 364090 56 +rect 364586 -400 364642 56 +rect 365230 -424 365286 56 +rect 365874 -424 365930 56 +rect 366426 -400 366482 56 +rect 367070 -424 367126 56 +rect 367714 -424 367770 56 +rect 368266 -424 368322 56 +rect 368910 -424 368966 56 +rect 369554 -424 369610 56 +rect 370106 -424 370162 56 +rect 370750 -117 370806 56 +rect 370632 -181 370806 -117 +rect 370750 -400 370806 -181 +rect 371394 -213 371450 56 +rect 372038 -21 372094 56 +rect 371921 -85 372094 -21 +rect 371329 -277 371513 -213 +rect 371394 -400 371450 -277 +rect 372038 -400 372094 -85 +rect 372590 -424 372646 56 +rect 373234 -424 373290 56 +rect 373878 -424 373934 56 +rect 374430 -424 374486 56 +rect 375074 -117 375130 56 +rect 374956 -181 375130 -117 +rect 375074 -400 375130 -181 +rect 375718 -213 375774 56 +rect 375662 -277 375834 -213 +rect 375718 -400 375774 -277 +rect 376270 -424 376326 56 +rect 376914 -424 376970 56 +rect 377558 -424 377614 56 +rect 378110 -424 378166 56 +rect 378416 -363 378480 -311 +rect 378424 -400 378472 -363 +rect 378780 -400 378832 56 +rect 382978 -400 383030 56 +rect 417546 -117 417602 56 +rect 417546 -181 417719 -117 +rect 417546 -400 417602 -181 +rect 418190 -424 418246 56 +rect 418834 -400 418890 56 +rect 419386 -400 419442 56 +rect 420030 -424 420086 56 +rect 420674 -424 420730 56 +rect 421226 -400 421282 56 +rect 421870 -424 421926 56 +rect 422514 -424 422570 56 +rect 423066 -424 423122 56 +rect 423710 -424 423766 56 +rect 424354 -424 424410 56 +rect 424906 -424 424962 56 +rect 425550 -117 425606 56 +rect 425432 -181 425606 -117 +rect 425550 -400 425606 -181 +rect 426194 -213 426250 56 +rect 426838 -21 426894 56 +rect 426721 -85 426894 -21 +rect 426129 -277 426313 -213 +rect 426194 -400 426250 -277 +rect 426838 -400 426894 -85 +rect 427390 -424 427446 56 +rect 428034 -424 428090 56 +rect 428678 -424 428734 56 +rect 429230 -424 429286 56 +rect 429874 -117 429930 56 +rect 429756 -181 429930 -117 +rect 429874 -400 429930 -181 +rect 430518 -213 430574 56 +rect 430462 -277 430634 -213 +rect 430518 -400 430574 -277 +rect 431070 -424 431126 56 +rect 431714 -424 431770 56 +rect 432358 -424 432414 56 +rect 432910 -424 432966 56 +rect 433216 -363 433280 -311 +rect 433224 -400 433272 -363 +rect 433580 -400 433632 56 +rect 437778 -400 437830 56 +rect 472346 -117 472402 56 +rect 472346 -181 472519 -117 +rect 472346 -400 472402 -181 +rect 472990 -424 473046 56 +rect 473634 -400 473690 56 +rect 474186 -400 474242 56 +rect 474830 -424 474886 56 +rect 475474 -424 475530 56 +rect 476026 -400 476082 56 +rect 476670 -424 476726 56 +rect 477314 -424 477370 56 +rect 477866 -424 477922 56 +rect 478510 -424 478566 56 +rect 479154 -424 479210 56 +rect 479706 -424 479762 56 +rect 480350 -117 480406 56 +rect 480232 -181 480406 -117 +rect 480350 -400 480406 -181 +rect 480994 -213 481050 56 +rect 481638 -21 481694 56 +rect 481521 -85 481694 -21 +rect 480929 -277 481113 -213 +rect 480994 -400 481050 -277 +rect 481638 -400 481694 -85 +rect 482190 -424 482246 56 +rect 482834 -424 482890 56 +rect 483478 -424 483534 56 +rect 484030 -424 484086 56 +rect 484674 -117 484730 56 +rect 484556 -181 484730 -117 +rect 484674 -400 484730 -181 +rect 485318 -213 485374 56 +rect 485262 -277 485434 -213 +rect 485318 -400 485374 -277 +rect 485870 -424 485926 56 +rect 486514 -424 486570 56 +rect 487158 -424 487214 56 +rect 487710 -424 487766 56 +rect 488016 -363 488080 -311 +rect 488024 -400 488072 -363 +rect 488380 -400 488432 56 +rect 492635 -400 492687 56 +rect 605082 -260 605134 56 +rect 605306 -260 605358 56 +rect 605530 -260 605582 56 +rect 605754 -260 605806 56 +rect 605978 -260 606030 56 +rect 606202 -260 606254 56 +rect 606426 -260 606478 56 +rect 606650 -260 606702 56 +rect 606874 -260 606926 56 +rect 607098 -260 607150 56 +rect 607322 -260 607374 56 +rect 607546 -260 607598 56 +rect 607770 -260 607822 56 +rect 607994 -260 608046 56 +rect 608218 -260 608270 56 +rect 608442 -260 608494 56 +rect 608666 -260 608718 56 +rect 608890 -260 608942 56 +rect 609114 -260 609166 56 +rect 609338 -260 609390 56 +rect 609562 -260 609614 56 +rect 609786 -260 609838 56 +rect 610010 -260 610062 56 +rect 610234 -260 610286 56 +rect 610458 -260 610510 56 +rect 610682 -260 610734 56 +rect 610906 -260 610958 56 +rect 611130 -260 611182 56 +rect 611354 -260 611406 56 +rect 611578 -260 611630 56 +rect 611802 -260 611854 56 +rect 612026 -260 612078 56 +rect 605093 -400 605121 -260 +rect 605317 -400 605345 -260 +rect 605541 -400 605569 -260 +rect 605765 -400 605793 -260 +rect 605989 -400 606017 -260 +rect 606213 -400 606241 -260 +rect 606437 -400 606465 -260 +rect 606661 -400 606689 -260 +rect 606885 -400 606913 -260 +rect 607109 -400 607137 -260 +rect 607333 -400 607361 -260 +rect 607557 -400 607585 -260 +rect 607781 -400 607809 -260 +rect 608005 -400 608033 -260 +rect 608229 -400 608257 -260 +rect 608453 -400 608481 -260 +rect 608677 -400 608705 -260 +rect 608901 -400 608929 -260 +rect 609125 -400 609153 -260 +rect 609349 -400 609377 -260 +rect 609573 -400 609601 -260 +rect 609797 -400 609825 -260 +rect 610021 -400 610049 -260 +rect 610245 -400 610273 -260 +rect 610469 -400 610497 -260 +rect 610693 -400 610721 -260 +rect 610917 -400 610945 -260 +rect 611141 -400 611169 -260 +rect 611365 -400 611393 -260 +rect 611589 -400 611617 -260 +rect 611813 -400 611841 -260 +rect 612037 -400 612065 -260 +<< metal3 >> +rect 291362 953270 296142 953770 +rect 301341 953270 306121 953770 +rect 533562 953270 538342 953770 +rect 543541 953270 548321 953770 +rect 633270 929007 633726 929069 +rect -424 927073 56 927143 +rect 633270 927005 633726 927067 +rect -424 925233 56 925303 +rect 633270 925103 633750 925173 +rect -424 924589 56 924659 +rect 633270 924551 633750 924621 +rect 633270 923907 633750 923977 +rect -424 923393 56 923463 +rect 633270 923263 633750 923333 +rect -424 922749 57 922819 +rect -424 922197 56 922267 +rect -424 921553 56 921623 +rect 633270 921423 633750 921493 +rect -424 920909 56 920979 +rect 633270 920871 633750 920941 +rect -424 920357 56 920427 +rect 633270 920227 633750 920297 +rect 633270 919583 633750 919653 +rect -424 917873 56 917943 +rect -424 917229 56 917299 +rect 633270 917099 633750 917169 +rect -424 916585 56 916655 +rect 633270 916547 633750 916617 +rect -424 916033 56 916103 +rect 633270 915903 633750 915973 +rect 633270 915259 633750 915329 +rect 633269 914707 633750 914777 +rect -424 914193 56 914263 +rect 633270 914063 633750 914133 +rect -424 913549 56 913619 +rect -424 912905 56 912975 +rect 633270 912867 633750 912937 +rect -424 912353 56 912423 +rect 633270 912223 633750 912293 +rect 633270 910383 633750 910453 +rect -400 906644 56 906704 +rect -400 904644 56 904704 +rect -444 880014 56 884803 +rect -444 875053 56 879715 +rect 633270 875563 633770 880363 +rect -444 869963 56 874763 +rect 633270 870611 633770 875273 +rect 633270 865523 633770 870312 +rect -444 837741 56 842521 +rect 633270 839007 633726 839069 +rect 633270 837005 633726 837067 +rect 633270 835903 633750 835973 +rect 633270 835351 633750 835421 +rect 633270 834707 633750 834777 +rect 633270 834063 633750 834133 +rect -444 827762 56 832542 +rect 633270 832223 633750 832293 +rect 633270 831671 633750 831741 +rect 633270 831027 633750 831097 +rect 633270 830383 633750 830453 +rect 633270 827899 633750 827969 +rect 633270 827347 633750 827417 +rect 633270 826703 633750 826773 +rect 633270 826059 633750 826129 +rect 633269 825507 633750 825577 +rect 633270 824863 633750 824933 +rect 633270 823667 633750 823737 +rect 633270 823023 633750 823093 +rect 633270 821183 633750 821253 +rect -444 795541 56 800321 +rect -444 785562 56 790342 +rect 633270 786384 633770 791164 +rect 633270 776405 633770 781185 +rect -424 757273 56 757343 +rect -424 755433 56 755503 +rect -424 754789 56 754859 +rect -424 753593 56 753663 +rect -424 752949 57 753019 +rect -424 752397 56 752467 +rect -424 751753 56 751823 +rect -424 751109 56 751179 +rect -424 750557 56 750627 +rect 633270 750007 633726 750069 +rect -424 748073 56 748143 +rect 633270 748005 633726 748067 +rect -424 747429 56 747499 +rect -424 746785 56 746855 +rect 633270 746703 633750 746773 +rect -424 746233 56 746303 +rect 633270 746151 633750 746221 +rect 633270 745507 633750 745577 +rect 633270 744863 633750 744933 +rect -424 744393 56 744463 +rect -424 743749 56 743819 +rect -424 743105 56 743175 +rect 633270 743023 633750 743093 +rect -424 742553 56 742623 +rect 633270 742471 633750 742541 +rect 633270 741827 633750 741897 +rect 633270 741183 633750 741253 +rect 633270 738699 633750 738769 +rect 633270 738147 633750 738217 +rect 633270 737503 633750 737573 +rect 633270 736859 633750 736929 +rect -400 736644 56 736704 +rect 633269 736307 633750 736377 +rect 633270 735663 633750 735733 +rect -400 734644 56 734704 +rect 633270 734467 633750 734537 +rect 633270 733823 633750 733893 +rect 633270 731983 633750 732053 +rect -424 714073 56 714143 +rect -424 712233 56 712303 +rect -424 711589 56 711659 +rect -424 710393 56 710463 +rect -424 709749 57 709819 +rect -424 709197 56 709267 +rect -424 708553 56 708623 +rect -424 707909 56 707979 +rect -424 707357 56 707427 +rect 633270 705007 633726 705069 +rect -424 704873 56 704943 +rect -424 704229 56 704299 +rect -424 703585 56 703655 +rect -424 703033 56 703103 +rect 633270 703005 633726 703067 +rect 633270 701703 633750 701773 +rect -424 701193 56 701263 +rect 633270 701151 633750 701221 +rect -424 700549 56 700619 +rect 633270 700507 633750 700577 +rect -424 699905 56 699975 +rect 633270 699863 633750 699933 +rect -424 699353 56 699423 +rect 633270 698023 633750 698093 +rect 633270 697471 633750 697541 +rect 633270 696827 633750 696897 +rect 633270 696183 633750 696253 +rect -400 693644 56 693704 +rect 633270 693699 633750 693769 +rect 633270 693147 633750 693217 +rect 633270 692503 633750 692573 +rect 633270 691859 633750 691929 +rect -400 691644 56 691704 +rect 633269 691307 633750 691377 +rect 633270 690663 633750 690733 +rect 633270 689467 633750 689537 +rect 633270 688823 633750 688893 +rect 633270 686983 633750 687053 +rect -424 670873 56 670943 +rect -424 669033 56 669103 +rect -424 668389 56 668459 +rect -424 667193 56 667263 +rect -424 666549 57 666619 +rect -424 665997 56 666067 +rect -424 665353 56 665423 +rect -424 664709 56 664779 +rect -424 664157 56 664227 +rect -424 661673 56 661743 +rect -424 661029 56 661099 +rect -424 660385 56 660455 +rect 633270 660007 633726 660069 +rect -424 659833 56 659903 +rect -424 657993 56 658063 +rect 633270 658005 633726 658067 +rect -424 657349 56 657419 +rect -424 656705 56 656775 +rect 633270 656703 633750 656773 +rect -424 656153 56 656223 +rect 633270 656151 633750 656221 +rect 633270 655507 633750 655577 +rect 633270 654863 633750 654933 +rect 633270 653023 633750 653093 +rect 633270 652471 633750 652541 +rect 633270 651827 633750 651897 +rect 633270 651183 633750 651253 +rect -400 650644 56 650704 +rect -400 648644 56 648704 +rect 633270 648699 633750 648769 +rect 633270 648147 633750 648217 +rect 633270 647503 633750 647573 +rect 633270 646859 633750 646929 +rect 633269 646307 633750 646377 +rect 633270 645663 633750 645733 +rect 633270 644467 633750 644537 +rect 633270 643823 633750 643893 +rect 633270 641983 633750 642053 +rect -424 627673 56 627743 +rect -424 625833 56 625903 +rect -424 625189 56 625259 +rect -424 623993 56 624063 +rect -424 623349 57 623419 +rect -424 622797 56 622867 +rect -424 622153 56 622223 +rect -424 621509 56 621579 +rect -424 620957 56 621027 +rect -424 618473 56 618543 +rect -424 617829 56 617899 +rect -424 617185 56 617255 +rect -424 616633 56 616703 +rect 633270 615007 633726 615069 +rect -424 614793 56 614863 +rect -424 614149 56 614219 +rect -424 613505 56 613575 +rect -424 612953 56 613023 +rect 633270 613005 633726 613067 +rect 633270 611503 633750 611573 +rect 633270 610951 633750 611021 +rect 633270 610307 633750 610377 +rect 633270 609663 633750 609733 +rect 633270 607823 633750 607893 +rect -400 607644 56 607704 +rect 633270 607271 633750 607341 +rect 633270 606627 633750 606697 +rect 633270 605983 633750 606053 +rect -400 605644 56 605704 +rect 633270 603499 633750 603569 +rect 633270 602947 633750 603017 +rect 633270 602303 633750 602373 +rect 633270 601659 633750 601729 +rect 633269 601107 633750 601177 +rect 633270 600463 633750 600533 +rect 633270 599267 633750 599337 +rect 633270 598623 633750 598693 +rect 633270 596783 633750 596853 +rect -424 584473 56 584543 +rect -424 582633 56 582703 +rect -424 581989 56 582059 +rect -424 580793 56 580863 +rect -424 580149 57 580219 +rect -424 579597 56 579667 +rect -424 578953 56 579023 +rect -424 578309 56 578379 +rect -424 577757 56 577827 +rect -424 575273 56 575343 +rect -424 574629 56 574699 +rect -424 573985 56 574055 +rect -424 573433 56 573503 +rect -424 571593 56 571663 +rect -424 570949 56 571019 +rect -424 570305 56 570375 +rect 633270 570007 633726 570069 +rect -424 569753 56 569823 +rect 633270 568005 633726 568067 +rect 633270 566503 633750 566573 +rect 633270 565951 633750 566021 +rect 633270 565307 633750 565377 +rect -400 564644 56 564704 +rect 633270 564663 633750 564733 +rect 633270 562823 633750 562893 +rect -400 562644 56 562704 +rect 633270 562271 633750 562341 +rect 633270 561627 633750 561697 +rect 633270 560983 633750 561053 +rect 633270 558499 633750 558569 +rect 633270 557947 633750 558017 +rect 633270 557303 633750 557373 +rect 633270 556659 633750 556729 +rect 633269 556107 633750 556177 +rect 633270 555463 633750 555533 +rect 633270 554267 633750 554337 +rect 633270 553623 633750 553693 +rect 633270 551783 633750 551853 +rect -424 541273 56 541343 +rect -424 539433 56 539503 +rect -424 538789 56 538859 +rect -424 537593 56 537663 +rect -424 536949 57 537019 +rect -424 536397 56 536467 +rect -424 535753 56 535823 +rect -424 535109 56 535179 +rect -424 534557 56 534627 +rect -424 532073 56 532143 +rect -424 531429 56 531499 +rect -424 530785 56 530855 +rect -424 530233 56 530303 +rect -424 528393 56 528463 +rect -424 527749 56 527819 +rect -424 527105 56 527175 +rect -424 526553 56 526623 +rect 633270 525007 633726 525069 +rect 633270 523005 633726 523067 +rect -400 521644 56 521704 +rect 633270 521303 633750 521373 +rect 633270 520751 633750 520821 +rect 633270 520107 633750 520177 +rect -400 519644 56 519704 +rect 633270 519463 633750 519533 +rect 633270 517623 633750 517693 +rect 633270 517071 633750 517141 +rect 633270 516427 633750 516497 +rect 633270 515783 633750 515853 +rect 633270 513299 633750 513369 +rect 633270 512747 633750 512817 +rect 633270 512103 633750 512173 +rect 633270 511459 633750 511529 +rect 633269 510907 633750 510977 +rect 633270 510263 633750 510333 +rect 633270 509067 633750 509137 +rect 633270 508423 633750 508493 +rect 633270 506583 633750 506653 +rect -424 498073 56 498143 +rect -424 496233 56 496303 +rect -424 495589 56 495659 +rect -424 494393 56 494463 +rect -424 493749 57 493819 +rect -424 493197 56 493267 +rect -424 492553 56 492623 +rect -424 491909 56 491979 +rect -424 491357 56 491427 +rect -424 488873 56 488943 +rect -424 488229 56 488299 +rect -424 487585 56 487655 +rect -424 487033 56 487103 +rect -424 485193 56 485263 +rect -424 484549 56 484619 +rect -424 483905 56 483975 +rect -424 483353 56 483423 +rect -400 478644 56 478704 +rect -400 476644 56 476704 +rect 633270 471784 633770 476564 +rect 633270 461805 633770 466585 +rect -444 450941 56 455721 +rect -444 440962 56 445742 +rect 633270 427763 633770 432563 +rect 633270 422812 633770 427463 +rect 633270 417723 633770 422512 +rect -444 408814 56 413603 +rect -444 403863 56 408514 +rect -444 398763 56 403563 +rect 633270 383584 633770 388364 +rect 633270 373605 633770 378385 +rect -424 370473 56 370543 +rect -424 368633 56 368703 +rect -424 367989 56 368059 +rect -424 366793 56 366863 +rect -424 366149 57 366219 +rect -424 365597 56 365667 +rect -424 364953 56 365023 +rect -424 364309 56 364379 +rect -424 363757 56 363827 +rect -424 361273 56 361343 +rect -424 360629 56 360699 +rect -424 359985 56 360055 +rect -424 359433 56 359503 +rect -424 357593 56 357663 +rect -424 356949 56 357019 +rect -424 356305 56 356375 +rect -424 355753 56 355823 +rect -400 349644 56 349704 +rect 633270 348007 633726 348069 +rect -400 347644 56 347704 +rect 633270 346005 633726 346067 +rect 633270 344103 633750 344173 +rect 633270 343551 633750 343621 +rect 633270 342907 633750 342977 +rect 633270 342263 633750 342333 +rect 633270 340423 633750 340493 +rect 633270 339871 633750 339941 +rect 633270 339227 633750 339297 +rect 633270 338583 633750 338653 +rect 633270 336099 633750 336169 +rect 633270 335547 633750 335617 +rect 633270 334903 633750 334973 +rect 633270 334259 633750 334329 +rect 633269 333707 633750 333777 +rect 633270 333063 633750 333133 +rect 633270 331867 633750 331937 +rect 633270 331223 633750 331293 +rect 633270 329383 633750 329453 +rect -424 327273 56 327343 +rect -424 325433 56 325503 +rect -424 324789 56 324859 +rect -424 323593 56 323663 +rect -424 322949 57 323019 +rect -424 322397 56 322467 +rect -424 321753 56 321823 +rect -424 321109 56 321179 +rect -424 320557 56 320627 +rect -424 318073 56 318143 +rect -424 317429 56 317499 +rect -424 316785 56 316855 +rect -424 316233 56 316303 +rect -424 314393 56 314463 +rect -424 313749 56 313819 +rect -424 313105 56 313175 +rect -424 312553 56 312623 +rect -400 306644 56 306704 +rect -400 304644 56 304704 +rect 633270 303007 633726 303069 +rect 633270 301005 633726 301067 +rect 633270 298903 633750 298973 +rect 633270 298351 633750 298421 +rect 633270 297707 633750 297777 +rect 633270 297063 633750 297133 +rect 633270 295223 633750 295293 +rect 633270 294671 633750 294741 +rect 633270 294027 633750 294097 +rect 633270 293383 633750 293453 +rect 633270 290899 633750 290969 +rect 633270 290347 633750 290417 +rect 633270 289703 633750 289773 +rect 633270 289059 633750 289129 +rect 633269 288507 633750 288577 +rect 633270 287863 633750 287933 +rect 633270 286667 633750 286737 +rect 633270 286023 633750 286093 +rect 633270 284183 633750 284253 +rect -424 284073 56 284143 +rect -424 282233 56 282303 +rect -424 281589 56 281659 +rect -424 280393 56 280463 +rect -424 279749 57 279819 +rect -424 279197 56 279267 +rect -424 278553 56 278623 +rect -424 277909 56 277979 +rect -424 277357 56 277427 +rect -424 274873 56 274943 +rect -424 274229 56 274299 +rect -424 273585 56 273655 +rect -424 273033 56 273103 +rect -424 271193 56 271263 +rect -424 270549 56 270619 +rect -424 269905 56 269975 +rect -424 269353 56 269423 +rect -400 263644 56 263704 +rect -400 261644 56 261704 +rect 633270 258007 633726 258069 +rect 633270 256005 633726 256067 +rect 633270 253903 633750 253973 +rect 633270 253351 633750 253421 +rect 633270 252707 633750 252777 +rect 633270 252063 633750 252133 +rect 633270 250223 633750 250293 +rect 633270 249671 633750 249741 +rect 633270 249027 633750 249097 +rect 633270 248383 633750 248453 +rect 633270 245899 633750 245969 +rect 633270 245347 633750 245417 +rect 633270 244703 633750 244773 +rect 633270 244059 633750 244129 +rect 633269 243507 633750 243577 +rect 633270 242863 633750 242933 +rect 633270 241667 633750 241737 +rect 633270 241023 633750 241093 +rect -424 240873 56 240943 +rect 633270 239183 633750 239253 +rect -424 239033 56 239103 +rect -424 238389 56 238459 +rect -424 237193 56 237263 +rect -424 236549 57 236619 +rect -424 235997 56 236067 +rect -424 235353 56 235423 +rect -424 234709 56 234779 +rect -424 234157 56 234227 +rect -424 231673 56 231743 +rect -424 231029 56 231099 +rect -424 230385 56 230455 +rect -424 229833 56 229903 +rect -424 227993 56 228063 +rect -424 227349 56 227419 +rect -424 226705 56 226775 +rect -424 226153 56 226223 +rect -400 220644 56 220704 +rect -400 218644 56 218704 +rect 633270 213007 633726 213069 +rect 633270 211005 633726 211067 +rect 633270 208903 633750 208973 +rect 633270 208351 633750 208421 +rect 633270 207707 633750 207777 +rect 633270 207063 633750 207133 +rect 633270 205223 633750 205293 +rect 633270 204671 633750 204741 +rect 633270 204027 633750 204097 +rect 633270 203383 633750 203453 +rect 633270 200899 633750 200969 +rect 633270 200347 633750 200417 +rect 633270 199703 633750 199773 +rect 633270 199059 633750 199129 +rect 633269 198507 633750 198577 +rect 633270 197863 633750 197933 +rect -424 197673 56 197744 +rect 633270 196667 633750 196737 +rect 633270 196023 633750 196093 +rect -424 195833 56 195904 +rect -424 195189 56 195260 +rect 633270 194183 633750 194253 +rect -424 193993 56 194064 +rect -424 193419 56 193420 +rect -424 193349 57 193419 +rect -424 192797 56 192868 +rect -424 192153 56 192224 +rect -424 191509 56 191580 +rect -424 190957 56 191028 +rect -424 188473 56 188544 +rect -424 187829 56 187900 +rect -424 187185 56 187256 +rect -424 186633 56 186704 +rect -424 184793 56 184864 +rect -424 184149 56 184220 +rect -424 183505 56 183576 +rect -424 182953 56 183024 +rect -400 177644 56 177704 +rect -400 175644 56 175704 +rect 633270 168007 633726 168069 +rect 633270 166005 633726 166067 +rect 633270 163703 633750 163773 +rect 633270 163151 633750 163221 +rect 633270 162507 633750 162577 +rect 633270 161863 633750 161933 +rect 633270 160023 633750 160093 +rect 633270 159471 633750 159541 +rect 633270 158827 633750 158897 +rect 633270 158183 633750 158253 +rect 633270 155699 633750 155769 +rect 633270 155147 633750 155217 +rect -424 154473 56 154544 +rect 633270 154503 633750 154573 +rect 633270 153859 633750 153929 +rect 633269 153307 633750 153377 +rect -424 152633 56 152704 +rect 633270 152663 633750 152733 +rect -424 151989 56 152060 +rect 633270 151467 633750 151537 +rect -424 150793 56 150864 +rect 633270 150823 633750 150893 +rect -424 150219 56 150220 +rect -424 150149 57 150219 +rect -424 149597 56 149668 +rect -424 148953 56 149024 +rect 633270 148983 633750 149053 +rect -424 148309 56 148380 +rect -424 147757 56 147828 +rect -424 145273 56 145344 +rect -424 144629 56 144700 +rect -424 143985 56 144056 +rect -424 143433 56 143504 +rect -424 141592 56 141663 +rect -424 140949 56 141020 +rect -424 140305 56 140376 +rect -424 139753 56 139824 +rect -400 134644 56 134704 +rect -400 132644 56 132704 +rect 633270 123007 633726 123069 +rect 633270 121005 633726 121067 +rect 633270 118703 633750 118773 +rect 633270 118151 633750 118221 +rect 633270 117507 633750 117577 +rect 633270 116863 633750 116933 +rect 633270 115023 633750 115093 +rect 633270 114471 633750 114541 +rect 633270 113827 633750 113897 +rect 633270 113183 633750 113253 +rect 633270 110699 633750 110769 +rect 633270 110147 633750 110217 +rect 633270 109503 633750 109573 +rect 633270 108859 633750 108929 +rect 633269 108307 633750 108377 +rect 633270 107663 633750 107733 +rect 633270 106467 633750 106537 +rect 633270 105823 633750 105893 +rect 633270 103983 633750 104053 +rect -444 78141 56 82921 +rect 633270 78007 633726 78069 +rect 633270 76005 633726 76067 +rect 633270 73503 633750 73573 +rect 633270 72951 633750 73021 +rect -444 68162 56 72942 +rect 633270 72307 633750 72377 +rect 633270 71663 633750 71733 +rect 633270 69823 633750 69893 +rect 633270 69271 633750 69341 +rect 633270 68627 633750 68697 +rect 633270 67983 633750 68053 +rect 633270 65499 633750 65569 +rect 633270 64947 633750 65017 +rect 633270 64303 633750 64373 +rect 633270 63659 633750 63729 +rect 633269 63107 633750 63177 +rect 633270 62463 633750 62533 +rect 633270 61267 633750 61337 +rect 633270 60623 633750 60693 +rect 633270 58783 633750 58853 +rect -400 53595 56 53665 +rect -400 53372 56 53442 +rect -400 53147 56 53217 +rect -444 36014 56 40803 +rect -444 25963 56 30763 +rect 36805 -444 41585 56 +rect 46784 -444 51564 57 +rect 199283 -444 203912 56 +rect 209163 -444 213963 56 +rect 527005 -444 531785 56 +rect 536984 -444 541764 56 +rect 580805 -444 585585 56 +rect 590784 -444 595564 56 +<< comment >> +rect -400 953326 633726 953726 +rect -400 0 0 953326 +rect 633326 0 633726 953326 +rect -400 -400 633726 0 +<< labels >> +flabel metal2 485870 -424 485926 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[43] +port 290 nsew +flabel metal2 s 594004 953270 594060 953750 0 FreeSans 400 90 0 0 gpio_analog_en[15] +port 450 nsew +flabel metal2 s 592716 953270 592772 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[15] +port 538 nsew +flabel metal2 s 589680 953270 589736 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[15] +port 494 nsew +flabel metal2 s 593360 953270 593416 953750 0 FreeSans 400 90 0 0 gpio_dm0[15] +port 582 nsew +flabel metal2 s 595200 953270 595256 953750 0 FreeSans 400 90 0 0 gpio_dm1[15] +port 626 nsew +flabel metal2 s 589036 953270 589092 953750 0 FreeSans 400 90 0 0 gpio_dm2[15] +port 670 nsew +flabel metal2 s 588392 953270 588448 953750 0 FreeSans 400 90 0 0 gpio_holdover[15] +port 406 nsew +flabel metal2 s 585356 953270 585412 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[15] +port 274 nsew +flabel metal2 s 592164 953270 592220 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[15] +port 230 nsew +flabel metal2 s 584712 953270 584768 953750 0 FreeSans 400 90 0 0 gpio_oeb[15] +port 186 nsew +flabel metal2 s 587840 953270 587896 953750 0 FreeSans 400 90 0 0 gpio_out[15] +port 142 nsew +flabel metal2 s 597040 953270 597096 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[15] +port 362 nsew +flabel metal2 s 586000 953270 586056 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[15] +port 318 nsew +flabel metal2 s 598880 953270 598936 953750 0 FreeSans 400 90 0 0 gpio_in[15] +port 714 nsew +flabel metal2 s 492204 953270 492260 953750 0 FreeSans 400 90 0 0 gpio_analog_en[16] +port 449 nsew +flabel metal2 s 490916 953270 490972 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[16] +port 537 nsew +flabel metal2 s 487880 953270 487936 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[16] +port 493 nsew +flabel metal2 s 491560 953270 491616 953750 0 FreeSans 400 90 0 0 gpio_dm0[16] +port 581 nsew +flabel metal2 s 493400 953270 493456 953750 0 FreeSans 400 90 0 0 gpio_dm1[16] +port 625 nsew +flabel metal2 s 487236 953270 487292 953750 0 FreeSans 400 90 0 0 gpio_dm2[16] +port 669 nsew +flabel metal2 s 486592 953270 486648 953750 0 FreeSans 400 90 0 0 gpio_holdover[16] +port 405 nsew +flabel metal2 s 483556 953270 483612 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[16] +port 273 nsew +flabel metal2 s 490364 953270 490420 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[16] +port 229 nsew +flabel metal2 s 482912 953270 482968 953750 0 FreeSans 400 90 0 0 gpio_oeb[16] +port 185 nsew +flabel metal2 s 486040 953270 486096 953750 0 FreeSans 400 90 0 0 gpio_out[16] +port 141 nsew +flabel metal2 s 495240 953270 495296 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[16] +port 361 nsew +flabel metal2 s 484200 953270 484256 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[16] +port 317 nsew +flabel metal2 s 497080 953270 497136 953750 0 FreeSans 400 90 0 0 gpio_in[16] +port 713 nsew +flabel metal2 s 442000 953270 442056 953750 0 FreeSans 400 90 0 0 gpio_dm1[17] +port 624 nsew +flabel metal2 s 435836 953270 435892 953750 0 FreeSans 400 90 0 0 gpio_dm2[17] +port 668 nsew +flabel metal2 s 435192 953270 435248 953750 0 FreeSans 400 90 0 0 gpio_holdover[17] +port 404 nsew +flabel metal2 s 432156 953270 432212 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[17] +port 272 nsew +flabel metal2 s 438964 953270 439020 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[17] +port 228 nsew +flabel metal2 s 431512 953270 431568 953750 0 FreeSans 400 90 0 0 gpio_oeb[17] +port 184 nsew +flabel metal2 s 434640 953270 434696 953750 0 FreeSans 400 90 0 0 gpio_out[17] +port 140 nsew +flabel metal2 s 443840 953270 443896 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[17] +port 360 nsew +flabel metal2 s 432800 953270 432856 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[17] +port 316 nsew +flabel metal2 s 445680 953270 445736 953750 0 FreeSans 400 90 0 0 gpio_in[17] +port 712 nsew +flabel metal2 s 351804 953270 351860 953750 0 FreeSans 400 90 0 0 gpio_analog_en[18] +port 447 nsew +flabel metal2 s 350516 953270 350572 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[18] +port 535 nsew +flabel metal2 s 347480 953270 347536 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[18] +port 491 nsew +flabel metal2 s 351160 953270 351216 953750 0 FreeSans 400 90 0 0 gpio_dm0[18] +port 579 nsew +flabel metal2 s 353000 953270 353056 953750 0 FreeSans 400 90 0 0 gpio_dm1[18] +port 623 nsew +flabel metal2 s 346836 953270 346892 953750 0 FreeSans 400 90 0 0 gpio_dm2[18] +port 667 nsew +flabel metal2 s 346192 953270 346248 953750 0 FreeSans 400 90 0 0 gpio_holdover[18] +port 403 nsew +flabel metal2 s 343156 953270 343212 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[18] +port 271 nsew +flabel metal2 s 349964 953270 350020 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[18] +port 227 nsew +flabel metal2 s 342512 953270 342568 953750 0 FreeSans 400 90 0 0 gpio_oeb[18] +port 183 nsew +flabel metal2 s 345640 953270 345696 953750 0 FreeSans 400 90 0 0 gpio_out[18] +port 139 nsew +flabel metal2 s 354840 953270 354896 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[18] +port 359 nsew +flabel metal2 s 343800 953270 343856 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[18] +port 315 nsew +flabel metal2 s 356680 953270 356736 953750 0 FreeSans 400 90 0 0 gpio_in[18] +port 711 nsew +flabel metal2 s 440804 953270 440860 953750 0 FreeSans 400 90 0 0 gpio_analog_en[17] +port 448 nsew +flabel metal2 s 439516 953270 439572 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[17] +port 536 nsew +flabel metal2 s 436480 953270 436536 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[17] +port 492 nsew +flabel metal2 s 440160 953270 440216 953750 0 FreeSans 400 90 0 0 gpio_dm0[17] +port 580 nsew +flabel metal2 s 253040 953270 253096 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[19] +port 358 nsew +flabel metal2 s 242000 953270 242056 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[19] +port 314 nsew +flabel metal2 s 254880 953270 254936 953750 0 FreeSans 400 90 0 0 gpio_in[19] +port 710 nsew +flabel metal2 s 198404 953270 198460 953750 0 FreeSans 400 90 0 0 gpio_analog_en[20] +port 445 nsew +flabel metal2 s 197116 953270 197172 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[20] +port 533 nsew +flabel metal2 s 194080 953270 194136 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[20] +port 489 nsew +flabel metal2 s 197760 953270 197816 953750 0 FreeSans 400 90 0 0 gpio_dm0[20] +port 577 nsew +flabel metal2 s 199600 953270 199656 953750 0 FreeSans 400 90 0 0 gpio_dm1[20] +port 621 nsew +flabel metal2 s 193436 953270 193492 953750 0 FreeSans 400 90 0 0 gpio_dm2[20] +port 665 nsew +flabel metal2 s 192792 953270 192848 953750 0 FreeSans 400 90 0 0 gpio_holdover[20] +port 401 nsew +flabel metal2 s 189756 953270 189812 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[20] +port 269 nsew +flabel metal2 s 196564 953270 196620 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[20] +port 225 nsew +flabel metal2 s 189112 953270 189168 953750 0 FreeSans 400 90 0 0 gpio_oeb[20] +port 181 nsew +flabel metal2 s 192240 953270 192296 953750 0 FreeSans 400 90 0 0 gpio_out[20] +port 137 nsew +flabel metal2 s 201440 953270 201496 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[20] +port 357 nsew +flabel metal2 s 190400 953270 190456 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[20] +port 313 nsew +flabel metal2 s 203280 953270 203336 953750 0 FreeSans 400 90 0 0 gpio_in[20] +port 709 nsew +flabel metal2 s 250004 953270 250060 953750 0 FreeSans 400 90 0 0 gpio_analog_en[19] +port 446 nsew +flabel metal2 s 248716 953270 248772 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[19] +port 534 nsew +flabel metal2 s 245680 953270 245736 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[19] +port 490 nsew +flabel metal2 s 249360 953270 249416 953750 0 FreeSans 400 90 0 0 gpio_dm0[19] +port 578 nsew +flabel metal2 s 251200 953270 251256 953750 0 FreeSans 400 90 0 0 gpio_dm1[19] +port 622 nsew +flabel metal2 s 245036 953270 245092 953750 0 FreeSans 400 90 0 0 gpio_dm2[19] +port 666 nsew +flabel metal2 s 244392 953270 244448 953750 0 FreeSans 400 90 0 0 gpio_holdover[19] +port 402 nsew +flabel metal2 s 241356 953270 241412 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[19] +port 270 nsew +flabel metal2 s 248164 953270 248220 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[19] +port 226 nsew +flabel metal2 s 240712 953270 240768 953750 0 FreeSans 400 90 0 0 gpio_oeb[19] +port 182 nsew +flabel metal2 s 243840 953270 243896 953750 0 FreeSans 400 90 0 0 gpio_out[19] +port 138 nsew +flabel metal2 s 151880 953270 151936 953750 0 FreeSans 400 90 0 0 gpio_in[21] +port 708 nsew +flabel metal2 s 95604 953270 95660 953750 0 FreeSans 400 90 0 0 gpio_analog_en[22] +port 443 nsew +flabel metal2 s 94316 953270 94372 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[22] +port 531 nsew +flabel metal2 s 91280 953270 91336 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[22] +port 487 nsew +flabel metal2 s 94960 953270 95016 953750 0 FreeSans 400 90 0 0 gpio_dm0[22] +port 575 nsew +flabel metal2 s 96800 953270 96856 953750 0 FreeSans 400 90 0 0 gpio_dm1[22] +port 619 nsew +flabel metal2 s 90636 953270 90692 953750 0 FreeSans 400 90 0 0 gpio_dm2[22] +port 663 nsew +flabel metal2 s 89992 953270 90048 953750 0 FreeSans 400 90 0 0 gpio_holdover[22] +port 399 nsew +flabel metal2 s 86956 953270 87012 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[22] +port 267 nsew +flabel metal2 s 93764 953270 93820 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[22] +port 223 nsew +flabel metal2 s 86312 953270 86368 953750 0 FreeSans 400 90 0 0 gpio_oeb[22] +port 179 nsew +flabel metal2 s 89440 953270 89496 953750 0 FreeSans 400 90 0 0 gpio_out[22] +port 135 nsew +flabel metal2 s 98640 953270 98696 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[22] +port 355 nsew +flabel metal2 s 87600 953270 87656 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[22] +port 311 nsew +flabel metal2 s 100480 953270 100536 953750 0 FreeSans 400 90 0 0 gpio_in[22] +port 707 nsew +flabel metal2 s 44204 953270 44260 953750 0 FreeSans 400 90 0 0 gpio_analog_en[23] +port 442 nsew +flabel metal2 s 42916 953270 42972 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[23] +port 530 nsew +flabel metal2 s 39880 953270 39936 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[23] +port 486 nsew +flabel metal2 s 43560 953270 43616 953750 0 FreeSans 400 90 0 0 gpio_dm0[23] +port 574 nsew +flabel metal2 s 45400 953270 45456 953750 0 FreeSans 400 90 0 0 gpio_dm1[23] +port 618 nsew +flabel metal2 s 39236 953270 39292 953750 0 FreeSans 400 90 0 0 gpio_dm2[23] +port 662 nsew +flabel metal2 s 38592 953270 38648 953750 0 FreeSans 400 90 0 0 gpio_holdover[23] +port 398 nsew +flabel metal2 s 35556 953270 35612 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[23] +port 266 nsew +flabel metal2 s 42364 953270 42420 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[23] +port 222 nsew +flabel metal2 s 34912 953270 34968 953750 0 FreeSans 400 90 0 0 gpio_oeb[23] +port 178 nsew +flabel metal2 s 38040 953270 38096 953750 0 FreeSans 400 90 0 0 gpio_out[23] +port 134 nsew +flabel metal2 s 47240 953270 47296 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[23] +port 354 nsew +flabel metal2 s 36200 953270 36256 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[23] +port 310 nsew +flabel metal2 s 49080 953270 49136 953750 0 FreeSans 400 90 0 0 gpio_in[23] +port 706 nsew +flabel metal2 s 147004 953270 147060 953750 0 FreeSans 400 90 0 0 gpio_analog_en[21] +port 444 nsew +flabel metal2 s 145716 953270 145772 953750 0 FreeSans 400 90 0 0 gpio_analog_pol[21] +port 532 nsew +flabel metal2 s 142680 953270 142736 953750 0 FreeSans 400 90 0 0 gpio_analog_sel[21] +port 488 nsew +flabel metal2 s 146360 953270 146416 953750 0 FreeSans 400 90 0 0 gpio_dm0[21] +port 576 nsew +flabel metal2 s 148200 953270 148256 953750 0 FreeSans 400 90 0 0 gpio_dm1[21] +port 620 nsew +flabel metal2 s 142036 953270 142092 953750 0 FreeSans 400 90 0 0 gpio_dm2[21] +port 664 nsew +flabel metal2 s 141392 953270 141448 953750 0 FreeSans 400 90 0 0 gpio_holdover[21] +port 400 nsew +flabel metal2 s 138356 953270 138412 953750 0 FreeSans 400 90 0 0 gpio_ib_mode_sel[21] +port 268 nsew +flabel metal2 s 145164 953270 145220 953750 0 FreeSans 400 90 0 0 gpio_inp_dis[21] +port 224 nsew +flabel metal2 s 137712 953270 137768 953750 0 FreeSans 400 90 0 0 gpio_oeb[21] +port 180 nsew +flabel metal2 s 140840 953270 140896 953750 0 FreeSans 400 90 0 0 gpio_out[21] +port 136 nsew +flabel metal2 s 150040 953270 150096 953750 0 FreeSans 400 90 0 0 gpio_slow_sel[21] +port 356 nsew +flabel metal2 s 139000 953270 139056 953750 0 FreeSans 400 90 0 0 gpio_vtrip_sel[21] +port 312 nsew +flabel metal2 145190 -424 145246 56 0 FreeSans 400 270 0 0 gpio_in[38] +port 691 nsew +flabel metal2 147030 -424 147086 56 0 FreeSans 400 270 0 0 gpio_slow_sel[38] +port 339 nsew +flabel metal2 148870 -424 148926 56 0 FreeSans 400 270 0 0 gpio_dm0[38] +port 559 nsew +flabel metal2 150710 -424 150766 56 0 FreeSans 400 270 0 0 gpio_dm1[38] +port 603 nsew +flabel metal2 151354 -424 151410 56 0 FreeSans 400 270 0 0 gpio_analog_pol[38] +port 515 nsew +flabel metal2 150066 -424 150122 56 0 FreeSans 400 270 0 0 gpio_analog_en[38] +port 427 nsew +flabel metal2 151906 -424 151962 56 0 FreeSans 400 270 0 0 gpio_inp_dis[38] +port 207 nsew +flabel metal2 154390 -424 154446 56 0 FreeSans 400 270 0 0 gpio_analog_sel[38] +port 471 nsew +flabel metal2 155034 -424 155090 56 0 FreeSans 400 270 0 0 gpio_dm2[38] +port 647 nsew +flabel metal2 155678 -424 155734 56 0 FreeSans 400 270 0 0 gpio_holdover[38] +port 383 nsew +flabel metal2 156230 -424 156286 56 0 FreeSans 400 270 0 0 gpio_out[38] +port 119 nsew +flabel metal2 158070 -424 158126 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[38] +port 295 nsew +flabel metal2 158714 -424 158770 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[38] +port 251 nsew +flabel metal2 159358 -424 159414 56 0 FreeSans 400 270 0 0 gpio_oeb[38] +port 163 nsew +flabel metal2 253790 -424 253846 56 0 FreeSans 400 270 0 0 gpio_in[39] +port 690 nsew +flabel metal2 255630 -424 255686 56 0 FreeSans 400 270 0 0 gpio_slow_sel[39] +port 338 nsew +flabel metal2 257470 -424 257526 56 0 FreeSans 400 270 0 0 gpio_dm1[39] +port 602 nsew +flabel metal2 259310 -424 259366 56 0 FreeSans 400 270 0 0 gpio_dm0[39] +port 558 nsew +flabel metal2 259954 -424 260010 56 0 FreeSans 400 270 0 0 gpio_analog_pol[39] +port 514 nsew +flabel metal2 258666 -424 258722 56 0 FreeSans 400 270 0 0 gpio_analog_en[39] +port 426 nsew +flabel metal2 260506 -424 260562 56 0 FreeSans 400 270 0 0 gpio_inp_dis[39] +port 206 nsew +flabel metal2 262990 -424 263046 56 0 FreeSans 400 270 0 0 gpio_analog_sel[39] +port 470 nsew +flabel metal2 263634 -424 263690 56 0 FreeSans 400 270 0 0 gpio_dm2[39] +port 646 nsew +flabel metal2 264278 -424 264334 56 0 FreeSans 400 270 0 0 gpio_holdover[39] +port 382 nsew +flabel metal2 264830 -424 264886 56 0 FreeSans 400 270 0 0 gpio_out[39] +port 118 nsew +flabel metal2 266670 -424 266726 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[39] +port 294 nsew +flabel metal2 267314 -424 267370 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[39] +port 250 nsew +flabel metal2 267958 -424 268014 56 0 FreeSans 400 270 0 0 gpio_oeb[39] +port 162 nsew +flabel metal2 308590 -424 308646 56 0 FreeSans 400 270 0 0 gpio_in[40] +port 689 nsew +flabel metal2 310430 -424 310486 56 0 FreeSans 400 270 0 0 gpio_slow_sel[40] +port 337 nsew +flabel metal2 312270 -424 312326 56 0 FreeSans 400 270 0 0 gpio_dm1[40] +port 601 nsew +flabel metal2 314110 -424 314166 56 0 FreeSans 400 270 0 0 gpio_dm0[40] +port 557 nsew +flabel metal2 314754 -424 314810 56 0 FreeSans 400 270 0 0 gpio_analog_pol[40] +port 513 nsew +flabel metal2 313466 -424 313522 56 0 FreeSans 400 270 0 0 gpio_analog_en[40] +port 425 nsew +flabel metal2 315306 -424 315362 56 0 FreeSans 400 270 0 0 gpio_inp_dis[40] +port 205 nsew +flabel metal2 317790 -424 317846 56 0 FreeSans 400 270 0 0 gpio_analog_sel[40] +port 469 nsew +flabel metal2 318434 -424 318490 56 0 FreeSans 400 270 0 0 gpio_dm2[40] +port 645 nsew +flabel metal2 319078 -424 319134 56 0 FreeSans 400 270 0 0 gpio_holdover[40] +port 381 nsew +flabel metal2 319630 -424 319686 56 0 FreeSans 400 270 0 0 gpio_out[40] +port 117 nsew +flabel metal2 321470 -424 321526 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[40] +port 293 nsew +flabel metal2 322114 -424 322170 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[40] +port 249 nsew +flabel metal2 322758 -424 322814 56 0 FreeSans 400 270 0 0 gpio_oeb[40] +port 161 nsew +flabel metal2 363390 -424 363446 56 0 FreeSans 400 270 0 0 gpio_in[41] +port 688 nsew +flabel metal2 365230 -424 365286 56 0 FreeSans 400 270 0 0 gpio_slow_sel[41] +port 336 nsew +flabel metal2 367070 -424 367126 56 0 FreeSans 400 270 0 0 gpio_dm1[41] +port 600 nsew +flabel metal2 368910 -424 368966 56 0 FreeSans 400 270 0 0 gpio_dm0[41] +port 556 nsew +flabel metal2 369554 -424 369610 56 0 FreeSans 400 270 0 0 gpio_analog_pol[41] +port 512 nsew +flabel metal2 368266 -424 368322 56 0 FreeSans 400 270 0 0 gpio_analog_en[41] +port 424 nsew +flabel metal2 370106 -424 370162 56 0 FreeSans 400 270 0 0 gpio_inp_dis[41] +port 204 nsew +flabel metal2 372590 -424 372646 56 0 FreeSans 400 270 0 0 gpio_analog_sel[41] +port 468 nsew +flabel metal2 373234 -424 373290 56 0 FreeSans 400 270 0 0 gpio_dm2[41] +port 644 nsew +flabel metal2 373878 -424 373934 56 0 FreeSans 400 270 0 0 gpio_holdover[41] +port 380 nsew +flabel metal2 374430 -424 374486 56 0 FreeSans 400 270 0 0 gpio_out[41] +port 116 nsew +flabel metal2 376270 -424 376326 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[41] +port 292 nsew +flabel metal2 376914 -424 376970 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[41] +port 248 nsew +flabel metal2 377558 -424 377614 56 0 FreeSans 400 270 0 0 gpio_oeb[41] +port 160 nsew +flabel metal2 418190 -424 418246 56 0 FreeSans 400 270 0 0 gpio_in[42] +port 687 nsew +flabel metal2 420030 -424 420086 56 0 FreeSans 400 270 0 0 gpio_slow_sel[42] +port 335 nsew +flabel metal2 421870 -424 421926 56 0 FreeSans 400 270 0 0 gpio_dm1[42] +port 599 nsew +flabel metal2 423710 -424 423766 56 0 FreeSans 400 270 0 0 gpio_dm0[42] +port 555 nsew +flabel metal2 424354 -424 424410 56 0 FreeSans 400 270 0 0 gpio_analog_pol[42] +port 511 nsew +flabel metal2 423066 -424 423122 56 0 FreeSans 400 270 0 0 gpio_analog_en[42] +port 423 nsew +flabel metal2 424906 -424 424962 56 0 FreeSans 400 270 0 0 gpio_inp_dis[42] +port 203 nsew +flabel metal2 427390 -424 427446 56 0 FreeSans 400 270 0 0 gpio_analog_sel[42] +port 467 nsew +flabel metal2 428034 -424 428090 56 0 FreeSans 400 270 0 0 gpio_dm2[42] +port 643 nsew +flabel metal2 428678 -424 428734 56 0 FreeSans 400 270 0 0 gpio_holdover[42] +port 379 nsew +flabel metal2 429230 -424 429286 56 0 FreeSans 400 270 0 0 gpio_out[42] +port 115 nsew +flabel metal2 431070 -424 431126 56 0 FreeSans 400 270 0 0 gpio_vtrip_sel[42] +port 291 nsew +flabel metal2 431714 -424 431770 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[42] +port 247 nsew +flabel metal2 432358 -424 432414 56 0 FreeSans 400 270 0 0 gpio_oeb[42] +port 159 nsew +flabel metal2 472990 -424 473046 56 0 FreeSans 400 270 0 0 gpio_in[43] +port 686 nsew +flabel metal2 474830 -424 474886 56 0 FreeSans 400 270 0 0 gpio_slow_sel[43] +port 334 nsew +flabel metal2 476670 -424 476726 56 0 FreeSans 400 270 0 0 gpio_dm1[43] +port 598 nsew +flabel metal2 478510 -424 478566 56 0 FreeSans 400 270 0 0 gpio_dm0[43] +port 554 nsew +flabel metal2 479154 -424 479210 56 0 FreeSans 400 270 0 0 gpio_analog_pol[43] +port 510 nsew +flabel metal2 477866 -424 477922 56 0 FreeSans 400 270 0 0 gpio_analog_en[43] +port 422 nsew +flabel metal2 479706 -424 479762 56 0 FreeSans 400 270 0 0 gpio_inp_dis[43] +port 202 nsew +flabel metal2 482190 -424 482246 56 0 FreeSans 400 270 0 0 gpio_analog_sel[43] +port 466 nsew +flabel metal2 482834 -424 482890 56 0 FreeSans 400 270 0 0 gpio_dm2[43] +port 642 nsew +flabel metal2 483478 -424 483534 56 0 FreeSans 400 270 0 0 gpio_holdover[43] +port 378 nsew +flabel metal2 484030 -424 484086 56 0 FreeSans 400 270 0 0 gpio_out[43] +port 114 nsew +flabel metal2 486514 -424 486570 56 0 FreeSans 400 270 0 0 gpio_ib_mode_sel[43] +port 246 nsew +flabel metal2 487158 -424 487214 56 0 FreeSans 400 270 0 0 gpio_oeb[43] +port 158 nsew +flabel metal2 s 584160 953270 584216 953750 0 FreeSans 400 90 0 0 gpio_in_h[15] +port 758 nsew +flabel metal2 s 482360 953270 482416 953750 0 FreeSans 400 90 0 0 gpio_in_h[16] +port 757 nsew +flabel metal2 s 430960 953270 431016 953750 0 FreeSans 400 90 0 0 gpio_in_h[17] +port 756 nsew +flabel metal2 s 341960 953270 342016 953750 0 FreeSans 400 90 0 0 gpio_in_h[18] +port 755 nsew +flabel metal2 s 240160 953270 240216 953750 0 FreeSans 400 90 0 0 gpio_in_h[19] +port 754 nsew +flabel metal2 s 188560 953270 188616 953750 0 FreeSans 400 90 0 0 gpio_in_h[20] +port 753 nsew +flabel metal2 s 137160 953270 137216 953750 0 FreeSans 400 90 0 0 gpio_in_h[21] +port 752 nsew +flabel metal2 s 85760 953270 85816 953750 0 FreeSans 400 90 0 0 gpio_in_h[22] +port 751 nsew +flabel metal2 s 34360 953270 34416 953750 0 FreeSans 400 90 0 0 gpio_in_h[23] +port 750 nsew +flabel metal2 s 159910 -424 159966 56 0 FreeSans 400 90 0 0 gpio_in_h[38] +port 735 nsew +flabel metal2 s 268510 -424 268566 56 0 FreeSans 400 90 0 0 gpio_in_h[39] +port 734 nsew +flabel metal2 s 323310 -424 323366 56 0 FreeSans 400 90 0 0 gpio_in_h[40] +port 733 nsew +flabel metal2 s 378110 -424 378166 56 0 FreeSans 400 90 0 0 gpio_in_h[41] +port 732 nsew +flabel metal2 s 432910 -424 432966 56 0 FreeSans 400 90 0 0 gpio_in_h[42] +port 731 nsew +flabel metal2 s 487710 -424 487766 56 0 FreeSans 400 90 0 0 gpio_in_h[43] +port 730 nsew +flabel metal2 s 596396 953270 596452 953750 0 FreeSans 400 90 0 0 analog_io[15] +port 890 nsew +flabel metal2 s 494596 953270 494652 953750 0 FreeSans 400 90 0 0 analog_io[16] +port 889 nsew +flabel metal2 s 443196 953270 443252 953750 0 FreeSans 400 90 0 0 analog_io[17] +port 888 nsew +flabel metal2 s 354196 953270 354252 953750 0 FreeSans 400 90 0 0 analog_io[18] +port 887 nsew +flabel metal2 s 252396 953270 252452 953750 0 FreeSans 400 90 0 0 analog_io[19] +port 886 nsew +flabel metal2 s 200796 953270 200852 953750 0 FreeSans 400 90 0 0 analog_io[20] +port 885 nsew +flabel metal2 s 149396 953270 149452 953750 0 FreeSans 400 90 0 0 analog_io[21] +port 884 nsew +flabel metal2 s 97996 953270 98052 953750 0 FreeSans 400 90 0 0 analog_io[22] +port 883 nsew +flabel metal2 s 46596 953270 46652 953750 0 FreeSans 400 90 0 0 analog_io[23] +port 882 nsew +flabel metal2 s 147674 -424 147730 56 0 FreeSans 400 90 0 0 analog_io[38] +port 867 nsew +flabel metal2 s 256274 -424 256330 56 0 FreeSans 400 90 0 0 analog_io[39] +port 866 nsew +flabel metal2 s 311074 -424 311130 56 0 FreeSans 400 90 0 0 analog_io[40] +port 865 nsew +flabel metal2 s 365874 -424 365930 56 0 FreeSans 400 90 0 0 analog_io[41] +port 864 nsew +flabel metal2 s 420674 -424 420730 56 0 FreeSans 400 90 0 0 analog_io[42] +port 863 nsew +flabel metal2 s 475474 -424 475530 56 0 FreeSans 400 90 0 0 analog_io[43] +port 862 nsew +flabel metal2 s 594556 953270 594612 953750 0 FreeSans 400 90 0 0 analog_noesd_io[15] +port 934 nsew +flabel metal2 s 492756 953270 492812 953750 0 FreeSans 400 90 0 0 analog_noesd_io[16] +port 933 nsew +flabel metal2 s 441356 953270 441412 953750 0 FreeSans 400 90 0 0 analog_noesd_io[17] +port 932 nsew +flabel metal2 s 352356 953270 352412 953750 0 FreeSans 400 90 0 0 analog_noesd_io[18] +port 931 nsew +flabel metal2 s 250556 953270 250612 953750 0 FreeSans 400 90 0 0 analog_noesd_io[19] +port 930 nsew +flabel metal2 s 198956 953270 199012 953750 0 FreeSans 400 90 0 0 analog_noesd_io[20] +port 929 nsew +flabel metal2 s 147556 953270 147612 953750 0 FreeSans 400 90 0 0 analog_noesd_io[21] +port 928 nsew +flabel metal2 s 96156 953270 96212 953750 0 FreeSans 400 90 0 0 analog_noesd_io[22] +port 927 nsew +flabel metal2 s 44756 953270 44812 953750 0 FreeSans 400 90 0 0 analog_noesd_io[23] +port 926 nsew +flabel metal2 s 149514 -424 149570 56 0 FreeSans 400 90 0 0 analog_noesd_io[38] +port 911 nsew +flabel metal2 s 258114 -424 258170 56 0 FreeSans 400 90 0 0 analog_noesd_io[39] +port 910 nsew +flabel metal2 s 312914 -424 312970 56 0 FreeSans 400 90 0 0 analog_noesd_io[40] +port 909 nsew +flabel metal2 s 367714 -424 367770 56 0 FreeSans 400 90 0 0 analog_noesd_io[41] +port 908 nsew +flabel metal2 s 422514 -424 422570 56 0 FreeSans 400 90 0 0 analog_noesd_io[42] +port 907 nsew +flabel metal2 s 477314 -424 477370 56 0 FreeSans 400 90 0 0 analog_noesd_io[43] +port 906 nsew +flabel metal3 s -424 141592 56 141663 0 FreeSans 400 0 0 0 gpio_vtrip_sel[37] +port 296 nsew +flabel metal3 633270 422812 633770 427463 0 FreeSans 3200 90 0 0 vccd1 +port 28 nsew +flabel metal3 633270 427763 633770 432563 0 FreeSans 3200 90 0 0 vssd1 +port 30 nsew +flabel metal3 633270 417723 633770 422512 0 FreeSans 3200 90 0 0 vssd1 +port 30 nsew +flabel metal3 s 633270 870611 633770 875273 0 FreeSans 3200 90 0 0 vssd1 +port 30 nsew +flabel metal3 s 633270 875563 633770 880363 0 FreeSans 3200 90 0 0 vccd1 +port 28 nsew +flabel metal3 s 633270 865523 633770 870312 0 FreeSans 3200 90 0 0 vccd1 +port 28 nsew +flabel metal3 s 633270 786384 633770 791164 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 776405 633770 781185 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 471784 633770 476564 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 461805 633770 466585 0 FreeSans 3200 90 0 0 vdda1 +port 24 nsew +flabel metal3 s 633270 383584 633770 388364 0 FreeSans 3200 90 0 0 vssa1 +port 26 nsew +flabel metal3 s 633270 373605 633770 378385 0 FreeSans 3200 90 0 0 vssa1 +port 26 nsew +flabel metal3 s 543541 953270 548321 953770 0 FreeSans 3200 0 0 0 vssa1 +port 26 nsew +flabel metal3 s 533562 953270 538342 953770 0 FreeSans 3200 0 0 0 vssa1 +port 26 nsew +flabel metal3 301341 953270 306121 953770 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 291362 953270 296142 953770 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 -444 875053 56 879715 0 FreeSans 3200 90 0 0 vssd2 +port 31 nsew +flabel metal3 -444 880014 56 884803 0 FreeSans 3200 90 0 0 vccd2 +port 29 nsew +flabel metal3 -444 869963 56 874763 0 FreeSans 3200 90 0 0 vccd2 +port 29 nsew +flabel metal3 -444 837741 56 842521 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 827762 56 832542 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 795541 56 800321 0 FreeSans 3200 90 0 0 vssa2 +port 27 nsew +flabel metal3 -444 785562 56 790342 0 FreeSans 3200 90 0 0 vssa2 +port 27 nsew +flabel metal3 -444 450941 56 455721 0 FreeSans 3200 90 0 0 vdda2 +port 25 nsew +flabel metal3 -444 440962 56 445742 0 FreeSans 3200 90 0 0 vdda2 +port 25 nsew +flabel metal3 -444 403863 56 408514 0 FreeSans 3200 90 0 0 vccd2 +port 29 nsew +flabel metal3 -444 408814 56 413603 0 FreeSans 3200 90 0 0 vssd2 +port 31 nsew +flabel metal3 -444 398763 56 403563 0 FreeSans 3200 90 0 0 vssd2 +port 31 nsew +flabel metal3 -444 78141 56 82921 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 68162 56 72942 0 FreeSans 3200 90 0 0 vddio +port 18 nsew +flabel metal3 -444 36014 56 40803 0 FreeSans 3200 90 0 0 vccd +port 20 nsew +flabel metal3 -444 25963 56 30763 0 FreeSans 3200 90 0 0 vccd +port 20 nsew +flabel metal3 46784 -443 51564 57 0 FreeSans 3200 0 0 0 vssa +port 23 nsew +flabel metal3 36805 -444 41585 56 0 FreeSans 3200 0 0 0 vssa +port 23 nsew +flabel metal3 209163 -444 213963 56 0 FreeSans 3200 0 0 0 vssd +port 21 nsew +flabel metal3 199283 -444 203912 56 0 FreeSans 3200 0 0 0 vssd +port 21 nsew +flabel metal3 536984 -444 541764 56 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 527005 -444 531785 56 0 FreeSans 3200 0 0 0 vssio +port 19 nsew +flabel metal3 580805 -444 585585 56 0 FreeSans 3200 0 0 0 vdda +port 22 nsew +flabel metal3 590784 -444 595564 56 0 FreeSans 3200 0 0 0 vdda +port 22 nsew +flabel comment s 107715 141850 108715 141850 0 FreeSans 1120000 60 0 0 example +flabel metal3 s 633270 736859 633750 736929 0 FreeSans 400 0 0 0 gpio_analog_en[12] +port 453 nsew +flabel metal3 s 633270 738147 633750 738217 0 FreeSans 400 0 0 0 gpio_analog_pol[12] +port 541 nsew +flabel metal3 s 633270 741183 633750 741253 0 FreeSans 400 0 0 0 gpio_analog_sel[12] +port 497 nsew +flabel metal3 s 633270 737503 633750 737573 0 FreeSans 400 0 0 0 gpio_dm0[12] +port 585 nsew +flabel metal3 s 633270 735663 633750 735733 0 FreeSans 400 0 0 0 gpio_dm1[12] +port 629 nsew +flabel metal3 s 633270 741827 633750 741897 0 FreeSans 400 0 0 0 gpio_dm2[12] +port 673 nsew +flabel metal3 s 633270 742471 633750 742541 0 FreeSans 400 0 0 0 gpio_holdover[12] +port 409 nsew +flabel metal3 s 633270 745507 633750 745577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[12] +port 277 nsew +flabel metal3 s 633270 738699 633750 738769 0 FreeSans 400 0 0 0 gpio_inp_dis[12] +port 233 nsew +flabel metal3 s 633270 746151 633750 746221 0 FreeSans 400 0 0 0 gpio_oeb[12] +port 189 nsew +flabel metal3 s 633270 743023 633750 743093 0 FreeSans 400 0 0 0 gpio_out[12] +port 145 nsew +flabel metal3 s 633270 733823 633750 733893 0 FreeSans 400 0 0 0 gpio_slow_sel[12] +port 365 nsew +flabel metal3 s 633270 744863 633750 744933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[12] +port 321 nsew +flabel metal3 s 633270 731983 633750 732053 0 FreeSans 400 0 0 0 gpio_in[12] +port 717 nsew +flabel metal3 s 633270 826059 633750 826129 0 FreeSans 400 0 0 0 gpio_analog_en[13] +port 452 nsew +flabel metal3 s 633270 827347 633750 827417 0 FreeSans 400 0 0 0 gpio_analog_pol[13] +port 540 nsew +flabel metal3 s 633270 830383 633750 830453 0 FreeSans 400 0 0 0 gpio_analog_sel[13] +port 496 nsew +flabel metal3 s 633270 826703 633750 826773 0 FreeSans 400 0 0 0 gpio_dm0[13] +port 584 nsew +flabel metal3 s 633270 824863 633750 824933 0 FreeSans 400 0 0 0 gpio_dm1[13] +port 628 nsew +flabel metal3 s 633270 831027 633750 831097 0 FreeSans 400 0 0 0 gpio_dm2[13] +port 672 nsew +flabel metal3 s 633270 831671 633750 831741 0 FreeSans 400 0 0 0 gpio_holdover[13] +port 408 nsew +flabel metal3 s 633270 834707 633750 834777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[13] +port 276 nsew +flabel metal3 s 633270 827899 633750 827969 0 FreeSans 400 0 0 0 gpio_inp_dis[13] +port 232 nsew +flabel metal3 s 633270 835351 633750 835421 0 FreeSans 400 0 0 0 gpio_oeb[13] +port 188 nsew +flabel metal3 s 633270 832223 633750 832293 0 FreeSans 400 0 0 0 gpio_out[13] +port 144 nsew +flabel metal3 s 633270 823023 633750 823093 0 FreeSans 400 0 0 0 gpio_slow_sel[13] +port 364 nsew +flabel metal3 s 633270 834063 633750 834133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[13] +port 320 nsew +flabel metal3 s 633270 821183 633750 821253 0 FreeSans 400 0 0 0 gpio_in[13] +port 716 nsew +flabel metal3 s 633270 915259 633750 915329 0 FreeSans 400 0 0 0 gpio_analog_en[14] +port 451 nsew +flabel metal3 s 633270 916547 633750 916617 0 FreeSans 400 0 0 0 gpio_analog_pol[14] +port 539 nsew +flabel metal3 s 633270 919583 633750 919653 0 FreeSans 400 0 0 0 gpio_analog_sel[14] +port 495 nsew +flabel metal3 s 633270 915903 633750 915973 0 FreeSans 400 0 0 0 gpio_dm0[14] +port 583 nsew +flabel metal3 s 633270 914063 633750 914133 0 FreeSans 400 0 0 0 gpio_dm1[14] +port 627 nsew +flabel metal3 s 633270 920227 633750 920297 0 FreeSans 400 0 0 0 gpio_dm2[14] +port 671 nsew +flabel metal3 s 633270 920871 633750 920941 0 FreeSans 400 0 0 0 gpio_holdover[14] +port 407 nsew +flabel metal3 s 633270 923907 633750 923977 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[14] +port 275 nsew +flabel metal3 s 633270 917099 633750 917169 0 FreeSans 400 0 0 0 gpio_inp_dis[14] +port 231 nsew +flabel metal3 s 633270 924551 633750 924621 0 FreeSans 400 0 0 0 gpio_oeb[14] +port 187 nsew +flabel metal3 s 633270 921423 633750 921493 0 FreeSans 400 0 0 0 gpio_out[14] +port 143 nsew +flabel metal3 s 633270 912223 633750 912293 0 FreeSans 400 0 0 0 gpio_slow_sel[14] +port 363 nsew +flabel metal3 s 633270 923263 633750 923333 0 FreeSans 400 0 0 0 gpio_vtrip_sel[14] +port 319 nsew +flabel metal3 s 633270 910383 633750 910453 0 FreeSans 400 0 0 0 gpio_in[14] +port 715 nsew +flabel metal3 s 633270 697471 633750 697541 0 FreeSans 400 0 0 0 gpio_holdover[11] +port 410 nsew +flabel metal3 s 633270 700507 633750 700577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[11] +port 278 nsew +flabel metal3 s 633270 693699 633750 693769 0 FreeSans 400 0 0 0 gpio_inp_dis[11] +port 234 nsew +flabel metal3 s 633270 701151 633750 701221 0 FreeSans 400 0 0 0 gpio_oeb[11] +port 190 nsew +flabel metal3 s 633270 698023 633750 698093 0 FreeSans 400 0 0 0 gpio_out[11] +port 146 nsew +flabel metal3 s 633270 688823 633750 688893 0 FreeSans 400 0 0 0 gpio_slow_sel[11] +port 366 nsew +flabel metal3 s 633270 699863 633750 699933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[11] +port 322 nsew +flabel metal3 s 633270 686983 633750 687053 0 FreeSans 400 0 0 0 gpio_in[11] +port 718 nsew +flabel metal3 s 633270 646859 633750 646929 0 FreeSans 400 0 0 0 gpio_analog_en[10] +port 455 nsew +flabel metal3 s 633270 648147 633750 648217 0 FreeSans 400 0 0 0 gpio_analog_pol[10] +port 543 nsew +flabel metal3 s 633270 651183 633750 651253 0 FreeSans 400 0 0 0 gpio_analog_sel[10] +port 499 nsew +flabel metal3 s 633270 647503 633750 647573 0 FreeSans 400 0 0 0 gpio_dm0[10] +port 587 nsew +flabel metal3 s 633270 645663 633750 645733 0 FreeSans 400 0 0 0 gpio_dm1[10] +port 631 nsew +flabel metal3 s 633270 651827 633750 651897 0 FreeSans 400 0 0 0 gpio_dm2[10] +port 675 nsew +flabel metal3 s 633270 652471 633750 652541 0 FreeSans 400 0 0 0 gpio_holdover[10] +port 411 nsew +flabel metal3 s 633270 655507 633750 655577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[10] +port 279 nsew +flabel metal3 s 633270 648699 633750 648769 0 FreeSans 400 0 0 0 gpio_inp_dis[10] +port 235 nsew +flabel metal3 s 633270 656151 633750 656221 0 FreeSans 400 0 0 0 gpio_oeb[10] +port 191 nsew +flabel metal3 s 633270 653023 633750 653093 0 FreeSans 400 0 0 0 gpio_out[10] +port 147 nsew +flabel metal3 s 633270 643823 633750 643893 0 FreeSans 400 0 0 0 gpio_slow_sel[10] +port 367 nsew +flabel metal3 s 633270 654863 633750 654933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[10] +port 323 nsew +flabel metal3 s 633270 641983 633750 642053 0 FreeSans 400 0 0 0 gpio_in[10] +port 719 nsew +flabel metal3 s 633270 511459 633750 511529 0 FreeSans 400 0 0 0 gpio_analog_en[7] +port 458 nsew +flabel metal3 s 633270 512747 633750 512817 0 FreeSans 400 0 0 0 gpio_analog_pol[7] +port 546 nsew +flabel metal3 s 633270 515783 633750 515853 0 FreeSans 400 0 0 0 gpio_analog_sel[7] +port 502 nsew +flabel metal3 s 633270 512103 633750 512173 0 FreeSans 400 0 0 0 gpio_dm0[7] +port 590 nsew +flabel metal3 s 633270 510263 633750 510333 0 FreeSans 400 0 0 0 gpio_dm1[7] +port 634 nsew +flabel metal3 s 633270 516427 633750 516497 0 FreeSans 400 0 0 0 gpio_dm2[7] +port 678 nsew +flabel metal3 s 633270 517071 633750 517141 0 FreeSans 400 0 0 0 gpio_holdover[7] +port 414 nsew +flabel metal3 s 633270 520107 633750 520177 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[7] +port 282 nsew +flabel metal3 s 633270 513299 633750 513369 0 FreeSans 400 0 0 0 gpio_inp_dis[7] +port 238 nsew +flabel metal3 s 633270 520751 633750 520821 0 FreeSans 400 0 0 0 gpio_oeb[7] +port 194 nsew +flabel metal3 s 633270 517623 633750 517693 0 FreeSans 400 0 0 0 gpio_out[7] +port 150 nsew +flabel metal3 s 633270 508423 633750 508493 0 FreeSans 400 0 0 0 gpio_slow_sel[7] +port 370 nsew +flabel metal3 s 633270 519463 633750 519533 0 FreeSans 400 0 0 0 gpio_vtrip_sel[7] +port 326 nsew +flabel metal3 s 633270 506583 633750 506653 0 FreeSans 400 0 0 0 gpio_in[7] +port 722 nsew +flabel metal3 s 633270 556659 633750 556729 0 FreeSans 400 0 0 0 gpio_analog_en[8] +port 457 nsew +flabel metal3 s 633270 557947 633750 558017 0 FreeSans 400 0 0 0 gpio_analog_pol[8] +port 545 nsew +flabel metal3 s 633270 560983 633750 561053 0 FreeSans 400 0 0 0 gpio_analog_sel[8] +port 501 nsew +flabel metal3 s 633270 557303 633750 557373 0 FreeSans 400 0 0 0 gpio_dm0[8] +port 589 nsew +flabel metal3 s 633270 555463 633750 555533 0 FreeSans 400 0 0 0 gpio_dm1[8] +port 633 nsew +flabel metal3 s 633270 561627 633750 561697 0 FreeSans 400 0 0 0 gpio_dm2[8] +port 677 nsew +flabel metal3 s 633270 562271 633750 562341 0 FreeSans 400 0 0 0 gpio_holdover[8] +port 413 nsew +flabel metal3 s 633270 565307 633750 565377 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[8] +port 281 nsew +flabel metal3 s 633270 558499 633750 558569 0 FreeSans 400 0 0 0 gpio_inp_dis[8] +port 237 nsew +flabel metal3 s 633270 565951 633750 566021 0 FreeSans 400 0 0 0 gpio_oeb[8] +port 193 nsew +flabel metal3 s 633270 562823 633750 562893 0 FreeSans 400 0 0 0 gpio_out[8] +port 149 nsew +flabel metal3 s 633270 553623 633750 553693 0 FreeSans 400 0 0 0 gpio_slow_sel[8] +port 369 nsew +flabel metal3 s 633270 564663 633750 564733 0 FreeSans 400 0 0 0 gpio_vtrip_sel[8] +port 325 nsew +flabel metal3 s 633270 551783 633750 551853 0 FreeSans 400 0 0 0 gpio_in[8] +port 721 nsew +flabel metal3 s 633270 601659 633750 601729 0 FreeSans 400 0 0 0 gpio_analog_en[9] +port 456 nsew +flabel metal3 s 633270 602947 633750 603017 0 FreeSans 400 0 0 0 gpio_analog_pol[9] +port 544 nsew +flabel metal3 s 633270 605983 633750 606053 0 FreeSans 400 0 0 0 gpio_analog_sel[9] +port 500 nsew +flabel metal3 s 633270 602303 633750 602373 0 FreeSans 400 0 0 0 gpio_dm0[9] +port 588 nsew +flabel metal3 s 633270 600463 633750 600533 0 FreeSans 400 0 0 0 gpio_dm1[9] +port 632 nsew +flabel metal3 s 633270 606627 633750 606697 0 FreeSans 400 0 0 0 gpio_dm2[9] +port 676 nsew +flabel metal3 s 633270 607271 633750 607341 0 FreeSans 400 0 0 0 gpio_holdover[9] +port 412 nsew +flabel metal3 s 633270 610307 633750 610377 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[9] +port 280 nsew +flabel metal3 s 633270 603499 633750 603569 0 FreeSans 400 0 0 0 gpio_inp_dis[9] +port 236 nsew +flabel metal3 s 633270 610951 633750 611021 0 FreeSans 400 0 0 0 gpio_oeb[9] +port 192 nsew +flabel metal3 s 633270 607823 633750 607893 0 FreeSans 400 0 0 0 gpio_out[9] +port 148 nsew +flabel metal3 s 633270 598623 633750 598693 0 FreeSans 400 0 0 0 gpio_slow_sel[9] +port 368 nsew +flabel metal3 s 633270 609663 633750 609733 0 FreeSans 400 0 0 0 gpio_vtrip_sel[9] +port 324 nsew +flabel metal3 s 633270 596783 633750 596853 0 FreeSans 400 0 0 0 gpio_in[9] +port 720 nsew +flabel metal3 s 633270 691859 633750 691929 0 FreeSans 400 0 0 0 gpio_analog_en[11] +port 454 nsew +flabel metal3 s 633270 693147 633750 693217 0 FreeSans 400 0 0 0 gpio_analog_pol[11] +port 542 nsew +flabel metal3 s 633270 696183 633750 696253 0 FreeSans 400 0 0 0 gpio_analog_sel[11] +port 498 nsew +flabel metal3 s 633270 692503 633750 692573 0 FreeSans 400 0 0 0 gpio_dm0[11] +port 586 nsew +flabel metal3 s 633270 690663 633750 690733 0 FreeSans 400 0 0 0 gpio_dm1[11] +port 630 nsew +flabel metal3 s 633270 696827 633750 696897 0 FreeSans 400 0 0 0 gpio_dm2[11] +port 674 nsew +flabel metal3 s 633270 244059 633750 244129 0 FreeSans 400 0 0 0 gpio_analog_en[4] +port 461 nsew +flabel metal3 s 633270 245347 633750 245417 0 FreeSans 400 0 0 0 gpio_analog_pol[4] +port 549 nsew +flabel metal3 s 633270 248383 633750 248453 0 FreeSans 400 0 0 0 gpio_analog_sel[4] +port 505 nsew +flabel metal3 s 633270 244703 633750 244773 0 FreeSans 400 0 0 0 gpio_dm0[4] +port 593 nsew +flabel metal3 s 633270 242863 633750 242933 0 FreeSans 400 0 0 0 gpio_dm1[4] +port 637 nsew +flabel metal3 s 633270 249027 633750 249097 0 FreeSans 400 0 0 0 gpio_dm2[4] +port 681 nsew +flabel metal3 s 633270 249671 633750 249741 0 FreeSans 400 0 0 0 gpio_holdover[4] +port 417 nsew +flabel metal3 s 633270 252707 633750 252777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[4] +port 285 nsew +flabel metal3 s 633270 245899 633750 245969 0 FreeSans 400 0 0 0 gpio_inp_dis[4] +port 241 nsew +flabel metal3 s 633270 253351 633750 253421 0 FreeSans 400 0 0 0 gpio_oeb[4] +port 197 nsew +flabel metal3 s 633270 250223 633750 250293 0 FreeSans 400 0 0 0 gpio_out[4] +port 153 nsew +flabel metal3 s 633270 241023 633750 241093 0 FreeSans 400 0 0 0 gpio_slow_sel[4] +port 373 nsew +flabel metal3 s 633270 252063 633750 252133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[4] +port 329 nsew +flabel metal3 s 633270 239183 633750 239253 0 FreeSans 400 0 0 0 gpio_in[4] +port 725 nsew +flabel metal3 s 633270 289059 633750 289129 0 FreeSans 400 0 0 0 gpio_analog_en[5] +port 460 nsew +flabel metal3 s 633270 290347 633750 290417 0 FreeSans 400 0 0 0 gpio_analog_pol[5] +port 548 nsew +flabel metal3 s 633270 293383 633750 293453 0 FreeSans 400 0 0 0 gpio_analog_sel[5] +port 504 nsew +flabel metal3 s 633270 289703 633750 289773 0 FreeSans 400 0 0 0 gpio_dm0[5] +port 592 nsew +flabel metal3 s 633270 287863 633750 287933 0 FreeSans 400 0 0 0 gpio_dm1[5] +port 636 nsew +flabel metal3 s 633270 294027 633750 294097 0 FreeSans 400 0 0 0 gpio_dm2[5] +port 680 nsew +flabel metal3 s 633270 294671 633750 294741 0 FreeSans 400 0 0 0 gpio_holdover[5] +port 416 nsew +flabel metal3 s 633270 297707 633750 297777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[5] +port 284 nsew +flabel metal3 s 633270 290899 633750 290969 0 FreeSans 400 0 0 0 gpio_inp_dis[5] +port 240 nsew +flabel metal3 s 633270 298351 633750 298421 0 FreeSans 400 0 0 0 gpio_oeb[5] +port 196 nsew +flabel metal3 s 633270 295223 633750 295293 0 FreeSans 400 0 0 0 gpio_out[5] +port 152 nsew +flabel metal3 s 633270 286023 633750 286093 0 FreeSans 400 0 0 0 gpio_slow_sel[5] +port 372 nsew +flabel metal3 s 633270 297063 633750 297133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[5] +port 328 nsew +flabel metal3 s 633270 284183 633750 284253 0 FreeSans 400 0 0 0 gpio_in[5] +port 724 nsew +flabel metal3 s 633270 334259 633750 334329 0 FreeSans 400 0 0 0 gpio_analog_en[6] +port 459 nsew +flabel metal3 s 633270 335547 633750 335617 0 FreeSans 400 0 0 0 gpio_analog_pol[6] +port 547 nsew +flabel metal3 s 633270 338583 633750 338653 0 FreeSans 400 0 0 0 gpio_analog_sel[6] +port 503 nsew +flabel metal3 s 633270 334903 633750 334973 0 FreeSans 400 0 0 0 gpio_dm0[6] +port 591 nsew +flabel metal3 s 633270 333063 633750 333133 0 FreeSans 400 0 0 0 gpio_dm1[6] +port 635 nsew +flabel metal3 s 633270 339227 633750 339297 0 FreeSans 400 0 0 0 gpio_dm2[6] +port 679 nsew +flabel metal3 s 633270 339871 633750 339941 0 FreeSans 400 0 0 0 gpio_holdover[6] +port 415 nsew +flabel metal3 s 633270 342907 633750 342977 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[6] +port 283 nsew +flabel metal3 s 633270 336099 633750 336169 0 FreeSans 400 0 0 0 gpio_inp_dis[6] +port 239 nsew +flabel metal3 s 633270 343551 633750 343621 0 FreeSans 400 0 0 0 gpio_oeb[6] +port 195 nsew +flabel metal3 s 633270 340423 633750 340493 0 FreeSans 400 0 0 0 gpio_out[6] +port 151 nsew +flabel metal3 s 633270 331223 633750 331293 0 FreeSans 400 0 0 0 gpio_slow_sel[6] +port 371 nsew +flabel metal3 s 633270 342263 633750 342333 0 FreeSans 400 0 0 0 gpio_vtrip_sel[6] +port 327 nsew +flabel metal3 s 633270 329383 633750 329453 0 FreeSans 400 0 0 0 gpio_in[6] +port 723 nsew +flabel metal3 s 633270 108859 633750 108929 0 FreeSans 400 0 0 0 gpio_analog_en[1] +port 464 nsew +flabel metal3 s 633270 110147 633750 110217 0 FreeSans 400 0 0 0 gpio_analog_pol[1] +port 552 nsew +flabel metal3 s 633270 113183 633750 113253 0 FreeSans 400 0 0 0 gpio_analog_sel[1] +port 508 nsew +flabel metal3 s 633270 109503 633750 109573 0 FreeSans 400 0 0 0 gpio_dm0[1] +port 596 nsew +flabel metal3 s 633270 107663 633750 107733 0 FreeSans 400 0 0 0 gpio_dm1[1] +port 640 nsew +flabel metal3 s 633270 113827 633750 113897 0 FreeSans 400 0 0 0 gpio_dm2[1] +port 684 nsew +flabel metal3 s 633270 114471 633750 114541 0 FreeSans 400 0 0 0 gpio_holdover[1] +port 420 nsew +flabel metal3 s 633270 117507 633750 117577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[1] +port 288 nsew +flabel metal3 s 633270 110699 633750 110769 0 FreeSans 400 0 0 0 gpio_inp_dis[1] +port 244 nsew +flabel metal3 s 633270 118151 633750 118221 0 FreeSans 400 0 0 0 gpio_oeb[1] +port 200 nsew +flabel metal3 s 633270 115023 633750 115093 0 FreeSans 400 0 0 0 gpio_out[1] +port 156 nsew +flabel metal3 s 633270 105823 633750 105893 0 FreeSans 400 0 0 0 gpio_slow_sel[1] +port 376 nsew +flabel metal3 s 633270 116863 633750 116933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[1] +port 332 nsew +flabel metal3 s 633270 103983 633750 104053 0 FreeSans 400 0 0 0 gpio_in[1] +port 728 nsew +flabel metal3 s 633270 153859 633750 153929 0 FreeSans 400 0 0 0 gpio_analog_en[2] +port 463 nsew +flabel metal3 s 633270 155147 633750 155217 0 FreeSans 400 0 0 0 gpio_analog_pol[2] +port 551 nsew +flabel metal3 s 633270 158183 633750 158253 0 FreeSans 400 0 0 0 gpio_analog_sel[2] +port 507 nsew +flabel metal3 s 633270 154503 633750 154573 0 FreeSans 400 0 0 0 gpio_dm0[2] +port 595 nsew +flabel metal3 s 633270 152663 633750 152733 0 FreeSans 400 0 0 0 gpio_dm1[2] +port 639 nsew +flabel metal3 s 633270 158827 633750 158897 0 FreeSans 400 0 0 0 gpio_dm2[2] +port 683 nsew +flabel metal3 s 633270 159471 633750 159541 0 FreeSans 400 0 0 0 gpio_holdover[2] +port 419 nsew +flabel metal3 s 633270 162507 633750 162577 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[2] +port 287 nsew +flabel metal3 s 633270 155699 633750 155769 0 FreeSans 400 0 0 0 gpio_inp_dis[2] +port 243 nsew +flabel metal3 s 633270 163151 633750 163221 0 FreeSans 400 0 0 0 gpio_oeb[2] +port 199 nsew +flabel metal3 s 633270 160023 633750 160093 0 FreeSans 400 0 0 0 gpio_out[2] +port 155 nsew +flabel metal3 s 633270 150823 633750 150893 0 FreeSans 400 0 0 0 gpio_slow_sel[2] +port 375 nsew +flabel metal3 s 633270 161863 633750 161933 0 FreeSans 400 0 0 0 gpio_vtrip_sel[2] +port 331 nsew +flabel metal3 s 633270 148983 633750 149053 0 FreeSans 400 0 0 0 gpio_in[2] +port 727 nsew +flabel metal3 s 633270 199059 633750 199129 0 FreeSans 400 0 0 0 gpio_analog_en[3] +port 462 nsew +flabel metal3 s 633270 200347 633750 200417 0 FreeSans 400 0 0 0 gpio_analog_pol[3] +port 550 nsew +flabel metal3 s 633270 203383 633750 203453 0 FreeSans 400 0 0 0 gpio_analog_sel[3] +port 506 nsew +flabel metal3 s 633270 197863 633750 197933 0 FreeSans 400 0 0 0 gpio_dm1[3] +port 638 nsew +flabel metal3 s 633270 204027 633750 204097 0 FreeSans 400 0 0 0 gpio_dm2[3] +port 682 nsew +flabel metal3 s 633270 199703 633750 199773 0 FreeSans 400 0 0 0 gpio_dm0[3] +port 594 nsew +flabel metal3 s 633270 204671 633750 204741 0 FreeSans 400 0 0 0 gpio_holdover[3] +port 418 nsew +flabel metal3 s 633270 207707 633750 207777 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[3] +port 286 nsew +flabel metal3 s 633270 200899 633750 200969 0 FreeSans 400 0 0 0 gpio_inp_dis[3] +port 242 nsew +flabel metal3 s 633270 208351 633750 208421 0 FreeSans 400 0 0 0 gpio_oeb[3] +port 198 nsew +flabel metal3 s 633270 205223 633750 205293 0 FreeSans 400 0 0 0 gpio_out[3] +port 154 nsew +flabel metal3 s 633270 196023 633750 196093 0 FreeSans 400 0 0 0 gpio_slow_sel[3] +port 374 nsew +flabel metal3 s 633270 207063 633750 207133 0 FreeSans 400 0 0 0 gpio_vtrip_sel[3] +port 330 nsew +flabel metal3 s 633270 63659 633750 63729 0 FreeSans 400 0 0 0 gpio_analog_en[0] +port 465 nsew +flabel metal3 s 633270 64947 633750 65017 0 FreeSans 400 0 0 0 gpio_analog_pol[0] +port 553 nsew +flabel metal3 s 633270 67983 633750 68053 0 FreeSans 400 0 0 0 gpio_analog_sel[0] +port 509 nsew +flabel metal3 s 633270 64303 633750 64373 0 FreeSans 400 0 0 0 gpio_dm0[0] +port 597 nsew +flabel metal3 s 633270 62463 633750 62533 0 FreeSans 400 0 0 0 gpio_dm1[0] +port 641 nsew +flabel metal3 s 633270 68627 633750 68697 0 FreeSans 400 0 0 0 gpio_dm2[0] +port 685 nsew +flabel metal3 s 633270 69271 633750 69341 0 FreeSans 400 0 0 0 gpio_holdover[0] +port 421 nsew +flabel metal3 s 633270 72307 633750 72377 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[0] +port 289 nsew +flabel metal3 s 633270 65499 633750 65569 0 FreeSans 400 0 0 0 gpio_inp_dis[0] +port 245 nsew +flabel metal3 s 633270 72951 633750 73021 0 FreeSans 400 0 0 0 gpio_oeb[0] +port 201 nsew +flabel metal3 s 633270 69823 633750 69893 0 FreeSans 400 0 0 0 gpio_out[0] +port 157 nsew +flabel metal3 s 633270 60623 633750 60693 0 FreeSans 400 0 0 0 gpio_slow_sel[0] +port 377 nsew +flabel metal3 s 633270 71663 633750 71733 0 FreeSans 400 0 0 0 gpio_vtrip_sel[0] +port 333 nsew +flabel metal3 s 633270 58783 633750 58853 0 FreeSans 400 0 0 0 gpio_in[0] +port 729 nsew +flabel metal3 s 633270 194183 633750 194253 0 FreeSans 400 0 0 0 gpio_in[3] +port 726 nsew +flabel metal3 633270 61267 633750 61337 0 FreeSans 400 0 0 0 analog_io[0] +port 905 nsew +flabel metal3 633270 63107 633750 63177 0 FreeSans 400 0 0 0 analog_noesd_io[0] +port 949 nsew +flabel metal3 633270 108307 633750 108377 0 FreeSans 400 0 0 0 analog_noesd_io[1] +port 948 nsew +flabel metal3 633270 106467 633750 106537 0 FreeSans 400 0 0 0 analog_io[1] +port 904 nsew +flabel metal3 633270 73503 633750 73573 0 FreeSans 400 0 0 0 gpio_in_h[0] +port 773 nsew +flabel metal3 633270 118703 633750 118773 0 FreeSans 400 0 0 0 gpio_in_h[1] +port 772 nsew +flabel metal3 633270 151467 633750 151537 0 FreeSans 400 0 0 0 analog_io[2] +port 903 nsew +flabel metal3 633270 153307 633750 153377 0 FreeSans 400 0 0 0 analog_noesd_io[2] +port 947 nsew +flabel metal3 633270 163703 633750 163773 0 FreeSans 400 0 0 0 gpio_in_h[2] +port 771 nsew +flabel metal3 633270 196667 633750 196737 0 FreeSans 400 0 0 0 analog_io[3] +port 902 nsew +flabel metal3 633270 198507 633750 198577 0 FreeSans 400 0 0 0 analog_noesd_io[3] +port 946 nsew +flabel metal3 633270 208903 633750 208973 0 FreeSans 400 0 0 0 gpio_in_h[3] +port 770 nsew +flabel metal3 633270 241667 633750 241737 0 FreeSans 400 0 0 0 analog_io[4] +port 901 nsew +flabel metal3 633270 243507 633750 243577 0 FreeSans 400 0 0 0 analog_noesd_io[4] +port 945 nsew +flabel metal3 633270 253903 633750 253973 0 FreeSans 400 0 0 0 gpio_in_h[4] +port 769 nsew +flabel metal3 633270 286667 633750 286737 0 FreeSans 400 0 0 0 analog_io[5] +port 900 nsew +flabel metal3 633270 288507 633750 288577 0 FreeSans 400 0 0 0 analog_noesd_io[5] +port 944 nsew +flabel metal3 633270 298903 633750 298973 0 FreeSans 400 0 0 0 gpio_in_h[5] +port 768 nsew +flabel metal3 633270 331867 633750 331937 0 FreeSans 400 0 0 0 analog_io[6] +port 899 nsew +flabel metal3 633270 333707 633750 333777 0 FreeSans 400 0 0 0 analog_noesd_io[6] +port 943 nsew +flabel metal3 633270 344103 633750 344173 0 FreeSans 400 0 0 0 gpio_in_h[6] +port 767 nsew +flabel metal3 s 633270 509067 633750 509137 0 FreeSans 400 0 0 0 analog_io[7] +port 898 nsew +flabel metal3 s 633270 510907 633750 510977 0 FreeSans 400 0 0 0 analog_noesd_io[7] +port 942 nsew +flabel metal3 s 633270 521303 633750 521373 0 FreeSans 400 0 0 0 gpio_in_h[7] +port 766 nsew +flabel metal3 s 633270 554267 633750 554337 0 FreeSans 400 0 0 0 analog_io[8] +port 897 nsew +flabel metal3 s 633270 556107 633750 556177 0 FreeSans 400 0 0 0 analog_noesd_io[8] +port 941 nsew +flabel metal3 s 633270 566503 633750 566573 0 FreeSans 400 0 0 0 gpio_in_h[8] +port 765 nsew +flabel metal3 s 633270 599267 633750 599337 0 FreeSans 400 0 0 0 analog_io[9] +port 896 nsew +flabel metal3 s 633270 601107 633750 601177 0 FreeSans 400 0 0 0 analog_noesd_io[9] +port 940 nsew +flabel metal3 s 633270 611503 633750 611573 0 FreeSans 400 0 0 0 gpio_in_h[9] +port 764 nsew +flabel metal3 s 633270 644467 633750 644537 0 FreeSans 400 0 0 0 analog_io[10] +port 895 nsew +flabel metal3 s 633270 646307 633750 646377 0 FreeSans 400 0 0 0 analog_noesd_io[10] +port 939 nsew +flabel metal3 s 633270 656703 633750 656773 0 FreeSans 400 0 0 0 gpio_in_h[10] +port 763 nsew +flabel metal3 s 633270 689467 633750 689537 0 FreeSans 400 0 0 0 analog_io[11] +port 894 nsew +flabel metal3 s 633270 691307 633750 691377 0 FreeSans 400 0 0 0 analog_noesd_io[11] +port 938 nsew +flabel metal3 s 633270 701703 633750 701773 0 FreeSans 400 0 0 0 gpio_in_h[11] +port 762 nsew +flabel metal3 s 633270 746703 633750 746773 0 FreeSans 400 0 0 0 gpio_in_h[12] +port 761 nsew +flabel metal3 s 633270 835903 633750 835973 0 FreeSans 400 0 0 0 gpio_in_h[13] +port 760 nsew +flabel metal3 s 633270 925103 633750 925173 0 FreeSans 400 0 0 0 gpio_in_h[14] +port 759 nsew +flabel metal3 s 633270 734467 633750 734537 0 FreeSans 400 0 0 0 analog_io[12] +port 893 nsew +flabel metal3 s 633270 823667 633750 823737 0 FreeSans 400 0 0 0 analog_io[13] +port 892 nsew +flabel metal3 s 633270 912867 633750 912937 0 FreeSans 400 0 0 0 analog_io[14] +port 891 nsew +flabel metal3 s 633270 736307 633750 736377 0 FreeSans 400 0 0 0 analog_noesd_io[12] +port 937 nsew +flabel metal3 s 633270 825507 633750 825577 0 FreeSans 400 0 0 0 analog_noesd_io[13] +port 936 nsew +flabel metal3 s 633270 914707 633750 914777 0 FreeSans 400 0 0 0 analog_noesd_io[14] +port 935 nsew +flabel metal3 s -424 922197 56 922267 0 FreeSans 400 0 0 0 gpio_analog_en[24] +port 441 nsew +flabel metal3 s -424 920909 56 920979 0 FreeSans 400 0 0 0 gpio_analog_pol[24] +port 529 nsew +flabel metal3 s -424 917873 56 917943 0 FreeSans 400 0 0 0 gpio_analog_sel[24] +port 485 nsew +flabel metal3 s -424 921553 56 921623 0 FreeSans 400 0 0 0 gpio_dm0[24] +port 573 nsew +flabel metal3 s -424 923393 56 923463 0 FreeSans 400 0 0 0 gpio_dm1[24] +port 617 nsew +flabel metal3 s -424 917229 56 917299 0 FreeSans 400 0 0 0 gpio_dm2[24] +port 661 nsew +flabel metal3 s -424 916585 56 916655 0 FreeSans 400 0 0 0 gpio_holdover[24] +port 397 nsew +flabel metal3 s -424 913549 56 913619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[24] +port 265 nsew +flabel metal3 s -424 920357 56 920427 0 FreeSans 400 0 0 0 gpio_inp_dis[24] +port 221 nsew +flabel metal3 s -424 912905 56 912975 0 FreeSans 400 0 0 0 gpio_oeb[24] +port 177 nsew +flabel metal3 s -424 916033 56 916103 0 FreeSans 400 0 0 0 gpio_out[24] +port 133 nsew +flabel metal3 s -424 925233 56 925303 0 FreeSans 400 0 0 0 gpio_slow_sel[24] +port 353 nsew +flabel metal3 s -424 914193 56 914263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[24] +port 309 nsew +flabel metal3 s -424 927073 56 927143 0 FreeSans 400 0 0 0 gpio_in[24] +port 705 nsew +flabel metal3 s -424 912353 56 912423 0 FreeSans 400 0 0 0 gpio_in_h[24] +port 749 nsew +flabel metal3 s -424 924589 56 924659 0 FreeSans 400 0 0 0 analog_io[24] +port 881 nsew +flabel metal3 s -424 922749 56 922819 0 FreeSans 400 0 0 0 analog_noesd_io[24] +port 925 nsew +flabel metal3 s -424 752397 56 752467 0 FreeSans 400 0 0 0 gpio_analog_en[25] +port 440 nsew +flabel metal3 s -424 751109 56 751179 0 FreeSans 400 0 0 0 gpio_analog_pol[25] +port 528 nsew +flabel metal3 s -424 748073 56 748143 0 FreeSans 400 0 0 0 gpio_analog_sel[25] +port 484 nsew +flabel metal3 s -424 751753 56 751823 0 FreeSans 400 0 0 0 gpio_dm0[25] +port 572 nsew +flabel metal3 s -424 753593 56 753663 0 FreeSans 400 0 0 0 gpio_dm1[25] +port 616 nsew +flabel metal3 s -424 747429 56 747499 0 FreeSans 400 0 0 0 gpio_dm2[25] +port 660 nsew +flabel metal3 s -424 746785 56 746855 0 FreeSans 400 0 0 0 gpio_holdover[25] +port 396 nsew +flabel metal3 s -424 743749 56 743819 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[25] +port 264 nsew +flabel metal3 s -424 750557 56 750627 0 FreeSans 400 0 0 0 gpio_inp_dis[25] +port 220 nsew +flabel metal3 s -424 743105 56 743175 0 FreeSans 400 0 0 0 gpio_oeb[25] +port 176 nsew +flabel metal3 s -424 746233 56 746303 0 FreeSans 400 0 0 0 gpio_out[25] +port 132 nsew +flabel metal3 s -424 755433 56 755503 0 FreeSans 400 0 0 0 gpio_slow_sel[25] +port 352 nsew +flabel metal3 s -424 757273 56 757343 0 FreeSans 400 0 0 0 gpio_in[25] +port 704 nsew +flabel metal3 s -424 535753 56 535823 0 FreeSans 400 0 0 0 gpio_dm0[30] +port 567 nsew +flabel metal3 s -424 537593 56 537663 0 FreeSans 400 0 0 0 gpio_dm1[30] +port 611 nsew +flabel metal3 s -424 531429 56 531499 0 FreeSans 400 0 0 0 gpio_dm2[30] +port 655 nsew +flabel metal3 s -424 530785 56 530855 0 FreeSans 400 0 0 0 gpio_holdover[30] +port 391 nsew +flabel metal3 s -424 527749 56 527819 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[30] +port 259 nsew +flabel metal3 s -424 534557 56 534627 0 FreeSans 400 0 0 0 gpio_inp_dis[30] +port 215 nsew +flabel metal3 s -424 527105 56 527175 0 FreeSans 400 0 0 0 gpio_oeb[30] +port 171 nsew +flabel metal3 s -424 530233 56 530303 0 FreeSans 400 0 0 0 gpio_out[30] +port 127 nsew +flabel metal3 s -424 539433 56 539503 0 FreeSans 400 0 0 0 gpio_slow_sel[30] +port 347 nsew +flabel metal3 s -424 528393 56 528463 0 FreeSans 400 0 0 0 gpio_vtrip_sel[30] +port 303 nsew +flabel metal3 s -424 541273 56 541343 0 FreeSans 400 0 0 0 gpio_in[30] +port 699 nsew +flabel metal3 s -424 493197 56 493267 0 FreeSans 400 0 0 0 gpio_analog_en[31] +port 434 nsew +flabel metal3 s -424 491909 56 491979 0 FreeSans 400 0 0 0 gpio_analog_pol[31] +port 522 nsew +flabel metal3 s -424 488873 56 488943 0 FreeSans 400 0 0 0 gpio_analog_sel[31] +port 478 nsew +flabel metal3 s -424 492553 56 492623 0 FreeSans 400 0 0 0 gpio_dm0[31] +port 566 nsew +flabel metal3 s -424 494393 56 494463 0 FreeSans 400 0 0 0 gpio_dm1[31] +port 610 nsew +flabel metal3 s -424 488229 56 488299 0 FreeSans 400 0 0 0 gpio_dm2[31] +port 654 nsew +flabel metal3 s -424 487585 56 487655 0 FreeSans 400 0 0 0 gpio_holdover[31] +port 390 nsew +flabel metal3 s -424 484549 56 484619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[31] +port 258 nsew +flabel metal3 s -424 491357 56 491427 0 FreeSans 400 0 0 0 gpio_inp_dis[31] +port 214 nsew +flabel metal3 s -424 483905 56 483975 0 FreeSans 400 0 0 0 gpio_oeb[31] +port 170 nsew +flabel metal3 s -424 487033 56 487103 0 FreeSans 400 0 0 0 gpio_out[31] +port 126 nsew +flabel metal3 s -424 496233 56 496303 0 FreeSans 400 0 0 0 gpio_slow_sel[31] +port 346 nsew +flabel metal3 s -424 485193 56 485263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[31] +port 302 nsew +flabel metal3 s -424 498073 56 498143 0 FreeSans 400 0 0 0 gpio_in[31] +port 698 nsew +flabel metal3 s -424 709197 56 709267 0 FreeSans 400 0 0 0 gpio_analog_en[26] +port 439 nsew +flabel metal3 s -424 707909 56 707979 0 FreeSans 400 0 0 0 gpio_analog_pol[26] +port 527 nsew +flabel metal3 s -424 704873 56 704943 0 FreeSans 400 0 0 0 gpio_analog_sel[26] +port 483 nsew +flabel metal3 s -424 708553 56 708623 0 FreeSans 400 0 0 0 gpio_dm0[26] +port 571 nsew +flabel metal3 s -424 710393 56 710463 0 FreeSans 400 0 0 0 gpio_dm1[26] +port 615 nsew +flabel metal3 s -424 704229 56 704299 0 FreeSans 400 0 0 0 gpio_dm2[26] +port 659 nsew +flabel metal3 s -424 703585 56 703655 0 FreeSans 400 0 0 0 gpio_holdover[26] +port 395 nsew +flabel metal3 s -424 700549 56 700619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[26] +port 263 nsew +flabel metal3 s -424 707357 56 707427 0 FreeSans 400 0 0 0 gpio_inp_dis[26] +port 219 nsew +flabel metal3 s -424 699905 56 699975 0 FreeSans 400 0 0 0 gpio_oeb[26] +port 175 nsew +flabel metal3 s -424 703033 56 703103 0 FreeSans 400 0 0 0 gpio_out[26] +port 131 nsew +flabel metal3 s -424 712233 56 712303 0 FreeSans 400 0 0 0 gpio_slow_sel[26] +port 351 nsew +flabel metal3 s -424 701193 56 701263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[26] +port 307 nsew +flabel metal3 s -424 714073 56 714143 0 FreeSans 400 0 0 0 gpio_in[26] +port 703 nsew +flabel metal3 s -424 665997 56 666067 0 FreeSans 400 0 0 0 gpio_analog_en[27] +port 438 nsew +flabel metal3 s -424 664709 56 664779 0 FreeSans 400 0 0 0 gpio_analog_pol[27] +port 526 nsew +flabel metal3 s -424 661673 56 661743 0 FreeSans 400 0 0 0 gpio_analog_sel[27] +port 482 nsew +flabel metal3 s -424 665353 56 665423 0 FreeSans 400 0 0 0 gpio_dm0[27] +port 570 nsew +flabel metal3 s -424 667193 56 667263 0 FreeSans 400 0 0 0 gpio_dm1[27] +port 614 nsew +flabel metal3 s -424 661029 56 661099 0 FreeSans 400 0 0 0 gpio_dm2[27] +port 658 nsew +flabel metal3 s -424 660385 56 660455 0 FreeSans 400 0 0 0 gpio_holdover[27] +port 394 nsew +flabel metal3 s -424 657349 56 657419 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[27] +port 262 nsew +flabel metal3 s -424 664157 56 664227 0 FreeSans 400 0 0 0 gpio_inp_dis[27] +port 218 nsew +flabel metal3 s -424 656705 56 656775 0 FreeSans 400 0 0 0 gpio_oeb[27] +port 174 nsew +flabel metal3 s -424 659833 56 659903 0 FreeSans 400 0 0 0 gpio_out[27] +port 130 nsew +flabel metal3 s -424 669033 56 669103 0 FreeSans 400 0 0 0 gpio_slow_sel[27] +port 350 nsew +flabel metal3 s -424 657993 56 658063 0 FreeSans 400 0 0 0 gpio_vtrip_sel[27] +port 306 nsew +flabel metal3 s -424 670873 56 670943 0 FreeSans 400 0 0 0 gpio_in[27] +port 702 nsew +flabel metal3 s -424 622797 56 622867 0 FreeSans 400 0 0 0 gpio_analog_en[28] +port 437 nsew +flabel metal3 s -424 621509 56 621579 0 FreeSans 400 0 0 0 gpio_analog_pol[28] +port 525 nsew +flabel metal3 s -424 618473 56 618543 0 FreeSans 400 0 0 0 gpio_analog_sel[28] +port 481 nsew +flabel metal3 s -424 622153 56 622223 0 FreeSans 400 0 0 0 gpio_dm0[28] +port 569 nsew +flabel metal3 s -424 623993 56 624063 0 FreeSans 400 0 0 0 gpio_dm1[28] +port 613 nsew +flabel metal3 s -424 617829 56 617899 0 FreeSans 400 0 0 0 gpio_dm2[28] +port 657 nsew +flabel metal3 s -424 617185 56 617255 0 FreeSans 400 0 0 0 gpio_holdover[28] +port 393 nsew +flabel metal3 s -424 614149 56 614219 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[28] +port 261 nsew +flabel metal3 s -424 620957 56 621027 0 FreeSans 400 0 0 0 gpio_inp_dis[28] +port 217 nsew +flabel metal3 s -424 613505 56 613575 0 FreeSans 400 0 0 0 gpio_oeb[28] +port 173 nsew +flabel metal3 s -424 616633 56 616703 0 FreeSans 400 0 0 0 gpio_out[28] +port 129 nsew +flabel metal3 s -424 625833 56 625903 0 FreeSans 400 0 0 0 gpio_slow_sel[28] +port 349 nsew +flabel metal3 s -424 614793 56 614863 0 FreeSans 400 0 0 0 gpio_vtrip_sel[28] +port 305 nsew +flabel metal3 s -424 627673 56 627743 0 FreeSans 400 0 0 0 gpio_in[28] +port 701 nsew +flabel metal3 s -424 579597 56 579667 0 FreeSans 400 0 0 0 gpio_analog_en[29] +port 436 nsew +flabel metal3 s -424 578309 56 578379 0 FreeSans 400 0 0 0 gpio_analog_pol[29] +port 524 nsew +flabel metal3 s -424 575273 56 575343 0 FreeSans 400 0 0 0 gpio_analog_sel[29] +port 480 nsew +flabel metal3 s -424 578953 56 579023 0 FreeSans 400 0 0 0 gpio_dm0[29] +port 568 nsew +flabel metal3 s -424 580793 56 580863 0 FreeSans 400 0 0 0 gpio_dm1[29] +port 612 nsew +flabel metal3 s -424 574629 56 574699 0 FreeSans 400 0 0 0 gpio_dm2[29] +port 656 nsew +flabel metal3 s -424 573985 56 574055 0 FreeSans 400 0 0 0 gpio_holdover[29] +port 392 nsew +flabel metal3 s -424 570949 56 571019 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[29] +port 260 nsew +flabel metal3 s -424 577757 56 577827 0 FreeSans 400 0 0 0 gpio_inp_dis[29] +port 216 nsew +flabel metal3 s -424 570305 56 570375 0 FreeSans 400 0 0 0 gpio_oeb[29] +port 172 nsew +flabel metal3 s -424 573433 56 573503 0 FreeSans 400 0 0 0 gpio_out[29] +port 128 nsew +flabel metal3 s -424 582633 56 582703 0 FreeSans 400 0 0 0 gpio_slow_sel[29] +port 348 nsew +flabel metal3 s -424 571593 56 571663 0 FreeSans 400 0 0 0 gpio_vtrip_sel[29] +port 304 nsew +flabel metal3 s -424 584473 56 584543 0 FreeSans 400 0 0 0 gpio_in[29] +port 700 nsew +flabel metal3 s -424 536397 56 536467 0 FreeSans 400 0 0 0 gpio_analog_en[30] +port 435 nsew +flabel metal3 s -424 535109 56 535179 0 FreeSans 400 0 0 0 gpio_analog_pol[30] +port 523 nsew +flabel metal3 s -424 532073 56 532143 0 FreeSans 400 0 0 0 gpio_analog_sel[30] +port 479 nsew +flabel metal3 s -424 193993 56 194064 0 FreeSans 400 0 0 0 gpio_dm1[36] +port 605 nsew +flabel metal3 s -424 187829 56 187900 0 FreeSans 400 0 0 0 gpio_dm2[36] +port 649 nsew +flabel metal3 s -424 187185 56 187256 0 FreeSans 400 0 0 0 gpio_holdover[36] +port 385 nsew +flabel metal3 s -424 184149 56 184220 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[36] +port 253 nsew +flabel metal3 s -424 190957 56 191028 0 FreeSans 400 0 0 0 gpio_inp_dis[36] +port 209 nsew +flabel metal3 s -424 183505 56 183576 0 FreeSans 400 0 0 0 gpio_oeb[36] +port 165 nsew +flabel metal3 s -424 186633 56 186704 0 FreeSans 400 0 0 0 gpio_out[36] +port 121 nsew +flabel metal3 s -424 195833 56 195904 0 FreeSans 400 0 0 0 gpio_slow_sel[36] +port 341 nsew +flabel metal3 s -424 184793 56 184864 0 FreeSans 400 0 0 0 gpio_vtrip_sel[36] +port 297 nsew +flabel metal3 s -424 197673 56 197744 0 FreeSans 400 0 0 0 gpio_in[36] +port 693 nsew +flabel metal3 s -424 149597 56 149668 0 FreeSans 400 0 0 0 gpio_analog_en[37] +port 428 nsew +flabel metal3 s -424 148309 56 148380 0 FreeSans 400 0 0 0 gpio_analog_pol[37] +port 516 nsew +flabel metal3 s -424 145273 56 145344 0 FreeSans 400 0 0 0 gpio_analog_sel[37] +port 472 nsew +flabel metal3 s -424 148953 56 149024 0 FreeSans 400 0 0 0 gpio_dm0[37] +port 560 nsew +flabel metal3 s -424 150793 56 150864 0 FreeSans 400 0 0 0 gpio_dm1[37] +port 604 nsew +flabel metal3 s -424 144629 56 144700 0 FreeSans 400 0 0 0 gpio_dm2[37] +port 648 nsew +flabel metal3 s -424 143985 56 144056 0 FreeSans 400 0 0 0 gpio_holdover[37] +port 384 nsew +flabel metal3 s -424 140949 56 141020 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[37] +port 252 nsew +flabel metal3 s -424 140305 56 140376 0 FreeSans 400 0 0 0 gpio_oeb[37] +port 164 nsew +flabel metal3 s -424 143433 56 143504 0 FreeSans 400 0 0 0 gpio_out[37] +port 120 nsew +flabel metal3 s -424 152633 56 152704 0 FreeSans 400 0 0 0 gpio_slow_sel[37] +port 340 nsew +flabel metal3 s -424 154473 56 154544 0 FreeSans 400 0 0 0 gpio_in[37] +port 692 nsew +flabel metal3 s -424 365597 56 365667 0 FreeSans 400 0 0 0 gpio_analog_en[32] +port 433 nsew +flabel metal3 s -424 364309 56 364379 0 FreeSans 400 0 0 0 gpio_analog_pol[32] +port 521 nsew +flabel metal3 s -424 361273 56 361343 0 FreeSans 400 0 0 0 gpio_analog_sel[32] +port 477 nsew +flabel metal3 s -424 364953 56 365023 0 FreeSans 400 0 0 0 gpio_dm0[32] +port 565 nsew +flabel metal3 s -424 366793 56 366863 0 FreeSans 400 0 0 0 gpio_dm1[32] +port 609 nsew +flabel metal3 s -424 360629 56 360699 0 FreeSans 400 0 0 0 gpio_dm2[32] +port 653 nsew +flabel metal3 s -424 359985 56 360055 0 FreeSans 400 0 0 0 gpio_holdover[32] +port 389 nsew +flabel metal3 s -424 356949 56 357019 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[32] +port 257 nsew +flabel metal3 s -424 363757 56 363827 0 FreeSans 400 0 0 0 gpio_inp_dis[32] +port 213 nsew +flabel metal3 s -424 356305 56 356375 0 FreeSans 400 0 0 0 gpio_oeb[32] +port 169 nsew +flabel metal3 s -424 359433 56 359503 0 FreeSans 400 0 0 0 gpio_out[32] +port 125 nsew +flabel metal3 s -424 368633 56 368703 0 FreeSans 400 0 0 0 gpio_slow_sel[32] +port 345 nsew +flabel metal3 s -424 357593 56 357663 0 FreeSans 400 0 0 0 gpio_vtrip_sel[32] +port 301 nsew +flabel metal3 s -424 370473 56 370543 0 FreeSans 400 0 0 0 gpio_in[32] +port 697 nsew +flabel metal3 s -424 322397 56 322467 0 FreeSans 400 0 0 0 gpio_analog_en[33] +port 432 nsew +flabel metal3 s -424 318073 56 318143 0 FreeSans 400 0 0 0 gpio_analog_sel[33] +port 476 nsew +flabel metal3 s -424 323593 56 323663 0 FreeSans 400 0 0 0 gpio_dm1[33] +port 608 nsew +flabel metal3 s -424 317429 56 317499 0 FreeSans 400 0 0 0 gpio_dm2[33] +port 652 nsew +flabel metal3 s -424 321753 56 321823 0 FreeSans 400 0 0 0 gpio_dm0[33] +port 564 nsew +flabel metal3 s -424 316785 56 316855 0 FreeSans 400 0 0 0 gpio_holdover[33] +port 388 nsew +flabel metal3 s -424 313749 56 313819 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[33] +port 256 nsew +flabel metal3 s -424 320557 56 320627 0 FreeSans 400 0 0 0 gpio_inp_dis[33] +port 212 nsew +flabel metal3 s -424 313105 56 313175 0 FreeSans 400 0 0 0 gpio_oeb[33] +port 168 nsew +flabel metal3 s -424 316233 56 316303 0 FreeSans 400 0 0 0 gpio_out[33] +port 124 nsew +flabel metal3 s -424 325433 56 325503 0 FreeSans 400 0 0 0 gpio_slow_sel[33] +port 344 nsew +flabel metal3 s -424 314393 56 314463 0 FreeSans 400 0 0 0 gpio_vtrip_sel[33] +port 300 nsew +flabel metal3 s -424 327273 56 327343 0 FreeSans 400 0 0 0 gpio_in[33] +port 696 nsew +flabel metal3 s -424 279197 56 279267 0 FreeSans 400 0 0 0 gpio_analog_en[34] +port 431 nsew +flabel metal3 s -424 277909 56 277979 0 FreeSans 400 0 0 0 gpio_analog_pol[34] +port 519 nsew +flabel metal3 s -424 274873 56 274943 0 FreeSans 400 0 0 0 gpio_analog_sel[34] +port 475 nsew +flabel metal3 s -424 278553 56 278623 0 FreeSans 400 0 0 0 gpio_dm0[34] +port 563 nsew +flabel metal3 s -424 280393 56 280463 0 FreeSans 400 0 0 0 gpio_dm1[34] +port 607 nsew +flabel metal3 s -424 274229 56 274299 0 FreeSans 400 0 0 0 gpio_dm2[34] +port 651 nsew +flabel metal3 s -424 273585 56 273655 0 FreeSans 400 0 0 0 gpio_holdover[34] +port 387 nsew +flabel metal3 s -424 270549 56 270619 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[34] +port 255 nsew +flabel metal3 s -424 277357 56 277427 0 FreeSans 400 0 0 0 gpio_inp_dis[34] +port 211 nsew +flabel metal3 s -424 269905 56 269975 0 FreeSans 400 0 0 0 gpio_oeb[34] +port 167 nsew +flabel metal3 s -424 273033 56 273103 0 FreeSans 400 0 0 0 gpio_out[34] +port 123 nsew +flabel metal3 s -424 282233 56 282303 0 FreeSans 400 0 0 0 gpio_slow_sel[34] +port 343 nsew +flabel metal3 s -424 271193 56 271263 0 FreeSans 400 0 0 0 gpio_vtrip_sel[34] +port 299 nsew +flabel metal3 s -424 284073 56 284143 0 FreeSans 400 0 0 0 gpio_in[34] +port 695 nsew +flabel metal3 s -424 235997 56 236067 0 FreeSans 400 0 0 0 gpio_analog_en[35] +port 430 nsew +flabel metal3 s -424 234709 56 234779 0 FreeSans 400 0 0 0 gpio_analog_pol[35] +port 518 nsew +flabel metal3 s -424 231673 56 231743 0 FreeSans 400 0 0 0 gpio_analog_sel[35] +port 474 nsew +flabel metal3 s -424 235353 56 235423 0 FreeSans 400 0 0 0 gpio_dm0[35] +port 562 nsew +flabel metal3 s -424 237193 56 237263 0 FreeSans 400 0 0 0 gpio_dm1[35] +port 606 nsew +flabel metal3 s -424 231029 56 231099 0 FreeSans 400 0 0 0 gpio_dm2[35] +port 650 nsew +flabel metal3 s -424 230385 56 230455 0 FreeSans 400 0 0 0 gpio_holdover[35] +port 386 nsew +flabel metal3 s -424 227349 56 227419 0 FreeSans 400 0 0 0 gpio_ib_mode_sel[35] +port 254 nsew +flabel metal3 s -424 234157 56 234227 0 FreeSans 400 0 0 0 gpio_inp_dis[35] +port 210 nsew +flabel metal3 s -424 226705 56 226775 0 FreeSans 400 0 0 0 gpio_oeb[35] +port 166 nsew +flabel metal3 s -424 229833 56 229903 0 FreeSans 400 0 0 0 gpio_out[35] +port 122 nsew +flabel metal3 s -424 239033 56 239103 0 FreeSans 400 0 0 0 gpio_slow_sel[35] +port 342 nsew +flabel metal3 s -424 227993 56 228063 0 FreeSans 400 0 0 0 gpio_vtrip_sel[35] +port 298 nsew +flabel metal3 s -424 240873 56 240943 0 FreeSans 400 0 0 0 gpio_in[35] +port 694 nsew +flabel metal3 s -424 192797 56 192868 0 FreeSans 400 0 0 0 gpio_analog_en[36] +port 429 nsew +flabel metal3 s -424 191509 56 191580 0 FreeSans 400 0 0 0 gpio_analog_pol[36] +port 517 nsew +flabel metal3 s -424 188473 56 188544 0 FreeSans 400 0 0 0 gpio_analog_sel[36] +port 473 nsew +flabel metal3 s -424 192153 56 192224 0 FreeSans 400 0 0 0 gpio_dm0[36] +port 561 nsew +flabel metal3 s -424 147757 56 147828 0 FreeSans 400 0 0 0 gpio_inp_dis[37] +port 208 nsew +flabel metal3 s -424 742553 56 742623 0 FreeSans 400 0 0 0 gpio_in_h[25] +port 748 nsew +flabel metal3 s -424 699353 56 699423 0 FreeSans 400 0 0 0 gpio_in_h[26] +port 747 nsew +flabel metal3 s -424 656153 56 656223 0 FreeSans 400 0 0 0 gpio_in_h[27] +port 746 nsew +flabel metal3 s -424 612953 56 613023 0 FreeSans 400 0 0 0 gpio_in_h[28] +port 745 nsew +flabel metal3 s -424 569753 56 569823 0 FreeSans 400 0 0 0 gpio_in_h[29] +port 744 nsew +flabel metal3 s -424 526553 56 526623 0 FreeSans 400 0 0 0 gpio_in_h[30] +port 743 nsew +flabel metal3 s -424 483353 56 483423 0 FreeSans 400 0 0 0 gpio_in_h[31] +port 742 nsew +flabel metal3 s -424 355753 56 355823 0 FreeSans 400 0 0 0 gpio_in_h[32] +port 741 nsew +flabel metal3 s -424 312553 56 312623 0 FreeSans 400 0 0 0 gpio_in_h[33] +port 740 nsew +flabel metal3 s -424 269353 56 269423 0 FreeSans 400 0 0 0 gpio_in_h[34] +port 739 nsew +flabel metal3 s -424 226153 56 226223 0 FreeSans 400 0 0 0 gpio_in_h[35] +port 738 nsew +flabel metal3 s -424 182953 56 183024 0 FreeSans 400 0 0 0 gpio_in_h[36] +port 737 nsew +flabel metal3 s -424 139753 56 139824 0 FreeSans 400 0 0 0 gpio_in_h[37] +port 736 nsew +flabel metal3 s -424 754789 56 754859 0 FreeSans 400 0 0 0 analog_io[25] +port 880 nsew +flabel metal3 s -424 711589 56 711659 0 FreeSans 400 0 0 0 analog_io[26] +port 879 nsew +flabel metal3 s -424 668389 56 668459 0 FreeSans 400 0 0 0 analog_io[27] +port 878 nsew +flabel metal3 s -424 625189 56 625259 0 FreeSans 400 0 0 0 analog_io[28] +port 877 nsew +flabel metal3 s -424 581989 56 582059 0 FreeSans 400 0 0 0 analog_io[29] +port 876 nsew +flabel metal3 s -424 538789 56 538859 0 FreeSans 400 0 0 0 analog_io[30] +port 875 nsew +flabel metal3 s -424 495589 56 495659 0 FreeSans 400 0 0 0 analog_io[31] +port 874 nsew +flabel metal3 s -424 367989 56 368059 0 FreeSans 400 0 0 0 analog_io[32] +port 873 nsew +flabel metal3 s -424 324789 56 324859 0 FreeSans 400 0 0 0 analog_io[33] +port 872 nsew +flabel metal3 s -424 281589 56 281659 0 FreeSans 400 0 0 0 analog_io[34] +port 871 nsew +flabel metal3 s -424 238389 56 238459 0 FreeSans 400 0 0 0 analog_io[35] +port 870 nsew +flabel metal3 s -424 195189 56 195260 0 FreeSans 400 0 0 0 analog_io[36] +port 869 nsew +flabel metal3 s -424 151989 56 152060 0 FreeSans 400 0 0 0 analog_io[37] +port 868 nsew +flabel metal3 s -424 752949 56 753019 0 FreeSans 400 0 0 0 analog_noesd_io[25] +port 924 nsew +flabel metal3 s -424 709749 56 709819 0 FreeSans 400 0 0 0 analog_noesd_io[26] +port 923 nsew +flabel metal3 s -424 666549 56 666619 0 FreeSans 400 0 0 0 analog_noesd_io[27] +port 922 nsew +flabel metal3 s -424 623349 56 623419 0 FreeSans 400 0 0 0 analog_noesd_io[28] +port 921 nsew +flabel metal3 s -424 580149 56 580219 0 FreeSans 400 0 0 0 analog_noesd_io[29] +port 920 nsew +flabel metal3 s -424 536949 56 537019 0 FreeSans 400 0 0 0 analog_noesd_io[30] +port 919 nsew +flabel metal3 s -424 493749 56 493819 0 FreeSans 400 0 0 0 analog_noesd_io[31] +port 918 nsew +flabel metal3 s -424 366149 56 366219 0 FreeSans 400 0 0 0 analog_noesd_io[32] +port 917 nsew +flabel metal3 s -424 322949 56 323019 0 FreeSans 400 0 0 0 analog_noesd_io[33] +port 916 nsew +flabel metal3 s -424 279749 56 279819 0 FreeSans 400 0 0 0 analog_noesd_io[34] +port 915 nsew +flabel metal3 s -424 236549 56 236619 0 FreeSans 400 0 0 0 analog_noesd_io[35] +port 914 nsew +flabel metal3 s -424 193349 56 193420 0 FreeSans 400 0 0 0 analog_noesd_io[36] +port 913 nsew +flabel metal3 s -424 150149 56 150220 0 FreeSans 400 0 0 0 analog_noesd_io[37] +port 912 nsew +flabel metal3 s -424 744393 56 744463 0 FreeSans 400 0 0 0 gpio_vtrip_sel[25] +port 308 nsew +flabel metal3 s -424 321109 56 321179 0 FreeSans 400 0 0 0 gpio_analog_pol[33] +port 520 nsew +flabel metal3 -264 906644 56 906704 0 FreeSans 400 0 0 0 gpio_loopback_one[24] +port 837 nsew +flabel metal3 -264 736644 56 736704 0 FreeSans 400 0 0 0 gpio_loopback_one[25] +port 836 nsew +flabel metal3 -264 693644 56 693704 0 FreeSans 400 0 0 0 gpio_loopback_one[26] +port 835 nsew +flabel metal3 -264 650644 56 650704 0 FreeSans 400 0 0 0 gpio_loopback_one[27] +port 834 nsew +flabel metal3 -264 607644 56 607704 0 FreeSans 400 0 0 0 gpio_loopback_one[28] +port 833 nsew +flabel metal3 -264 564644 56 564704 0 FreeSans 400 0 0 0 gpio_loopback_one[29] +port 832 nsew +flabel metal3 -264 521644 56 521704 0 FreeSans 400 0 0 0 gpio_loopback_one[30] +port 831 nsew +flabel metal3 -264 478644 56 478704 0 FreeSans 400 0 0 0 gpio_loopback_one[31] +port 830 nsew +flabel metal3 -264 349644 56 349704 0 FreeSans 400 0 0 0 gpio_loopback_one[32] +port 829 nsew +flabel metal3 -264 306644 56 306704 0 FreeSans 400 0 0 0 gpio_loopback_one[33] +port 828 nsew +flabel metal3 -264 263644 56 263704 0 FreeSans 400 0 0 0 gpio_loopback_one[34] +port 827 nsew +flabel metal3 -264 220644 56 220704 0 FreeSans 400 0 0 0 gpio_loopback_one[35] +port 826 nsew +flabel metal3 -264 177644 56 177704 0 FreeSans 400 0 0 0 gpio_loopback_one[36] +port 825 nsew +flabel metal3 -264 134644 56 134704 0 FreeSans 400 0 0 0 gpio_loopback_one[37] +port 824 nsew +flabel metal2 s 488380 -260 488432 56 0 FreeSans 400 90 0 0 gpio_loopback_one[43] +port 818 nsew +flabel metal2 s 492635 -260 492687 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[43] +port 774 nsew +flabel metal2 s 433580 -260 433632 56 0 FreeSans 400 90 0 0 gpio_loopback_one[42] +port 819 nsew +flabel metal2 s 437778 -260 437830 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[42] +port 775 nsew +flabel metal2 s 378780 -260 378832 56 0 FreeSans 400 90 0 0 gpio_loopback_one[41] +port 820 nsew +flabel metal2 s 382978 -260 383030 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[41] +port 776 nsew +flabel metal2 s 323980 -260 324032 56 0 FreeSans 400 90 0 0 gpio_loopback_one[40] +port 821 nsew +flabel metal2 s 328165 -282 328217 34 0 FreeSans 400 90 0 0 gpio_loopback_zero[40] +port 777 nsew +flabel metal2 s 269180 -260 269232 56 0 FreeSans 400 90 0 0 gpio_loopback_one[39] +port 822 nsew +flabel metal2 s 273360 -260 273412 56 0 FreeSans 400 90 0 0 gpio_loopback_zero[39] +port 778 nsew +flabel metal2 s 160580 -260 160632 56 0 FreeSans 400 90 0 0 gpio_loopback_one[38] +port 823 nsew +flabel metal2 s 163791 -259 163843 57 0 FreeSans 400 90 0 0 gpio_loopback_zero[38] +port 779 nsew +flabel metal2 s 110164 -116 110220 56 0 FreeSans 400 90 0 0 resetb_l +port 37 nsew +flabel metal2 s 99571 -90 99637 56 0 FreeSans 400 90 0 0 resetb_h +port 36 nsew +flabel metal3 -283 53372 56 53442 0 FreeSans 400 0 0 0 por_l +port 35 nsew +flabel metal3 -283 53595 56 53665 0 FreeSans 400 0 0 0 porb_l +port 34 nsew +flabel metal2 s 605082 -260 605134 56 0 FreeSans 400 90 0 0 mask_rev[0] +port 69 nsew +flabel metal3 -283 53147 56 53217 0 FreeSans 400 0 0 0 porb_h +port 33 nsew +flabel metal2 578298 953270 578359 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[15] +port 846 nsew +flabel metal2 478898 953270 478959 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[16] +port 845 nsew +flabel metal2 427698 953270 427759 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[17] +port 844 nsew +flabel metal2 338698 953270 338759 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[18] +port 843 nsew +flabel metal2 234298 953270 234359 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[19] +port 842 nsew +flabel metal2 183098 953270 183159 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[20] +port 841 nsew +flabel metal2 131898 953270 131959 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[21] +port 840 nsew +flabel metal2 80698 953270 80759 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[22] +port 839 nsew +flabel metal2 29498 953270 29559 953590 0 FreeSans 400 90 0 0 gpio_loopback_one[23] +port 838 nsew +flabel metal3 633270 927005 633590 927067 0 FreeSans 400 0 0 0 gpio_loopback_one[14] +port 847 nsew +flabel metal3 633270 837005 633590 837067 0 FreeSans 400 0 0 0 gpio_loopback_one[13] +port 848 nsew +flabel metal3 633270 748005 633590 748067 0 FreeSans 400 0 0 0 gpio_loopback_one[12] +port 849 nsew +flabel metal3 633270 703005 633590 703067 0 FreeSans 400 0 0 0 gpio_loopback_one[11] +port 850 nsew +flabel metal3 633270 658005 633590 658067 0 FreeSans 400 0 0 0 gpio_loopback_one[10] +port 851 nsew +flabel metal3 633270 613005 633590 613067 0 FreeSans 400 0 0 0 gpio_loopback_one[9] +port 852 nsew +flabel metal3 633270 568005 633590 568067 0 FreeSans 400 0 0 0 gpio_loopback_one[8] +port 853 nsew +flabel metal3 633270 523005 633590 523067 0 FreeSans 400 0 0 0 gpio_loopback_one[7] +port 854 nsew +flabel metal3 633270 346005 633590 346067 0 FreeSans 400 0 0 0 gpio_loopback_one[6] +port 855 nsew +flabel metal3 633270 301005 633590 301067 0 FreeSans 400 0 0 0 gpio_loopback_one[5] +port 856 nsew +flabel metal3 633270 256005 633590 256067 0 FreeSans 400 0 0 0 gpio_loopback_one[4] +port 857 nsew +flabel metal3 633270 211005 633590 211067 0 FreeSans 400 0 0 0 gpio_loopback_one[3] +port 858 nsew +flabel metal3 633270 166005 633590 166067 0 FreeSans 400 0 0 0 gpio_loopback_one[2] +port 859 nsew +flabel metal3 633270 121005 633590 121067 0 FreeSans 400 0 0 0 gpio_loopback_one[1] +port 860 nsew +flabel metal3 633270 76005 633590 76067 0 FreeSans 400 0 0 0 gpio_loopback_one[0] +port 861 nsew +flabel metal2 s 605978 -260 606030 56 0 FreeSans 400 90 0 0 mask_rev[4] +port 65 nsew +flabel metal2 s 606202 -260 606254 56 0 FreeSans 400 90 0 0 mask_rev[5] +port 64 nsew +flabel metal2 s 606426 -260 606478 56 0 FreeSans 400 90 0 0 mask_rev[6] +port 63 nsew +flabel metal2 s 606650 -260 606702 56 0 FreeSans 400 90 0 0 mask_rev[7] +port 62 nsew +flabel metal2 s 606874 -260 606926 56 0 FreeSans 400 90 0 0 mask_rev[8] +port 61 nsew +flabel metal2 s 607098 -260 607150 56 0 FreeSans 400 90 0 0 mask_rev[9] +port 60 nsew +flabel metal2 s 607322 -260 607374 56 0 FreeSans 400 90 0 0 mask_rev[10] +port 59 nsew +flabel metal2 s 607546 -260 607598 56 0 FreeSans 400 90 0 0 mask_rev[11] +port 58 nsew +flabel metal2 s 607770 -260 607822 56 0 FreeSans 400 90 0 0 mask_rev[12] +port 57 nsew +flabel metal2 s 607994 -260 608046 56 0 FreeSans 400 90 0 0 mask_rev[13] +port 56 nsew +flabel metal2 s 608218 -260 608270 56 0 FreeSans 400 90 0 0 mask_rev[14] +port 55 nsew +flabel metal2 s 608442 -260 608494 56 0 FreeSans 400 90 0 0 mask_rev[15] +port 54 nsew +flabel metal2 s 608666 -260 608718 56 0 FreeSans 400 90 0 0 mask_rev[16] +port 53 nsew +flabel metal2 s 608890 -260 608942 56 0 FreeSans 400 90 0 0 mask_rev[17] +port 52 nsew +flabel metal2 s 609114 -260 609166 56 0 FreeSans 400 90 0 0 mask_rev[18] +port 51 nsew +flabel metal2 s 609338 -260 609390 56 0 FreeSans 400 90 0 0 mask_rev[19] +port 50 nsew +flabel metal2 s 609562 -260 609614 56 0 FreeSans 400 90 0 0 mask_rev[20] +port 49 nsew +flabel metal2 s 609786 -260 609838 56 0 FreeSans 400 90 0 0 mask_rev[21] +port 48 nsew +flabel metal2 s 610010 -260 610062 56 0 FreeSans 400 90 0 0 mask_rev[22] +port 47 nsew +flabel metal2 s 610234 -260 610286 56 0 FreeSans 400 90 0 0 mask_rev[23] +port 46 nsew +flabel metal2 s 610458 -260 610510 56 0 FreeSans 400 90 0 0 mask_rev[24] +port 45 nsew +flabel metal2 s 610682 -260 610734 56 0 FreeSans 400 90 0 0 mask_rev[25] +port 44 nsew +flabel metal2 s 610906 -260 610958 56 0 FreeSans 400 90 0 0 mask_rev[26] +port 43 nsew +flabel metal2 s 611130 -260 611182 56 0 FreeSans 400 90 0 0 mask_rev[27] +port 42 nsew +flabel metal2 s 611354 -260 611406 56 0 FreeSans 400 90 0 0 mask_rev[28] +port 41 nsew +flabel metal2 s 611578 -260 611630 56 0 FreeSans 400 90 0 0 mask_rev[29] +port 40 nsew +flabel metal2 s 611802 -260 611854 56 0 FreeSans 400 90 0 0 mask_rev[30] +port 39 nsew +flabel metal2 s 612026 -260 612078 56 0 FreeSans 400 90 0 0 mask_rev[31] +port 38 nsew +flabel metal2 s 605754 -260 605806 56 0 FreeSans 400 90 0 0 mask_rev[3] +port 66 nsew +flabel metal2 s 605530 -260 605582 56 0 FreeSans 400 90 0 0 mask_rev[2] +port 67 nsew +flabel metal2 s 605306 -260 605358 56 0 FreeSans 400 90 0 0 mask_rev[1] +port 68 nsew +flabel metal3 633270 78007 633590 78069 0 FreeSans 400 0 0 0 gpio_loopback_zero[0] +port 817 nsew +flabel metal3 633270 123007 633590 123069 0 FreeSans 400 0 0 0 gpio_loopback_zero[1] +port 816 nsew +flabel metal3 633270 168007 633590 168069 0 FreeSans 400 0 0 0 gpio_loopback_zero[2] +port 815 nsew +flabel metal3 633270 213007 633590 213069 0 FreeSans 400 0 0 0 gpio_loopback_zero[3] +port 814 nsew +flabel metal3 633270 258007 633590 258069 0 FreeSans 400 0 0 0 gpio_loopback_zero[4] +port 813 nsew +flabel metal3 633270 303007 633590 303069 0 FreeSans 400 0 0 0 gpio_loopback_zero[5] +port 812 nsew +flabel metal3 633270 348007 633590 348069 0 FreeSans 400 0 0 0 gpio_loopback_zero[6] +port 811 nsew +flabel metal3 633270 525007 633590 525069 0 FreeSans 400 0 0 0 gpio_loopback_zero[7] +port 810 nsew +flabel metal3 633270 570007 633590 570069 0 FreeSans 400 0 0 0 gpio_loopback_zero[8] +port 809 nsew +flabel metal3 633270 615007 633590 615069 0 FreeSans 400 0 0 0 gpio_loopback_zero[9] +port 808 nsew +flabel metal3 633270 660007 633590 660069 0 FreeSans 400 0 0 0 gpio_loopback_zero[10] +port 807 nsew +flabel metal3 633270 705007 633590 705069 0 FreeSans 400 0 0 0 gpio_loopback_zero[11] +port 806 nsew +flabel metal3 633270 750007 633590 750069 0 FreeSans 400 0 0 0 gpio_loopback_zero[12] +port 805 nsew +flabel metal3 633270 839007 633590 839069 0 FreeSans 400 0 0 0 gpio_loopback_zero[13] +port 804 nsew +flabel metal3 633270 929007 633590 929069 0 FreeSans 400 0 0 0 gpio_loopback_zero[14] +port 803 nsew +flabel metal3 -264 734644 56 734704 0 FreeSans 400 0 0 0 gpio_loopback_zero[25] +port 792 nsew +flabel metal3 -264 648644 56 648704 0 FreeSans 400 0 0 0 gpio_loopback_zero[27] +port 790 nsew +flabel metal3 -264 562644 56 562704 0 FreeSans 400 0 0 0 gpio_loopback_zero[29] +port 788 nsew +flabel metal3 -264 476644 56 476704 0 FreeSans 400 0 0 0 gpio_loopback_zero[31] +port 786 nsew +flabel metal3 -264 304644 56 304704 0 FreeSans 400 0 0 0 gpio_loopback_zero[33] +port 784 nsew +flabel metal3 -264 218644 56 218704 0 FreeSans 400 0 0 0 gpio_loopback_zero[35] +port 782 nsew +flabel metal3 -264 132644 56 132704 0 FreeSans 400 0 0 0 gpio_loopback_zero[37] +port 780 nsew +flabel metal3 -264 904644 56 904704 0 FreeSans 400 0 0 0 gpio_loopback_zero[24] +port 793 nsew +flabel metal3 -264 691644 56 691704 0 FreeSans 400 0 0 0 gpio_loopback_zero[26] +port 791 nsew +flabel metal3 -264 605644 56 605704 0 FreeSans 400 0 0 0 gpio_loopback_zero[28] +port 789 nsew +flabel metal3 -264 519644 56 519704 0 FreeSans 400 0 0 0 gpio_loopback_zero[30] +port 787 nsew +flabel metal3 -264 347644 56 347704 0 FreeSans 400 0 0 0 gpio_loopback_zero[32] +port 785 nsew +flabel metal3 -264 261644 56 261704 0 FreeSans 400 0 0 0 gpio_loopback_zero[34] +port 783 nsew +flabel metal3 -264 175644 56 175704 0 FreeSans 400 0 0 0 gpio_loopback_zero[36] +port 781 nsew +flabel metal2 27497 953270 27558 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[23] +port 794 nsew +flabel metal2 78697 953270 78758 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[22] +port 795 nsew +flabel metal2 129897 953270 129958 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[21] +port 796 nsew +flabel metal2 181097 953270 181158 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[20] +port 797 nsew +flabel metal2 232297 953270 232358 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[19] +port 798 nsew +flabel metal2 336697 953270 336758 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[18] +port 799 nsew +flabel metal2 425697 953270 425758 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[17] +port 800 nsew +flabel metal2 476897 953270 476958 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[16] +port 801 nsew +flabel metal2 576297 953270 576358 953590 0 FreeSans 400 90 0 0 gpio_loopback_zero[15] +port 802 nsew +<< properties >> +string FIXED_BBOX 0 0 633326 953326 +<< end >> diff --git a/mag/user_analog_proj_example.mag b/mag/user_analog_proj_example.mag deleted file mode 100644 index fcac6f8..0000000 --- a/mag/user_analog_proj_example.mag +++ /dev/null @@ -1,18 +0,0 @@ -magic -tech $PDK -magscale 1 2 -timestamp 1639841760 -<< error_p >> -rect 5036 7870 5051 7898 -rect 5008 7676 5023 7870 -rect 20366 7862 20381 7890 -rect 20394 7668 20409 7862 -use example_por example_por_1 -timestamp 1639841760 -transform 1 0 14132 0 1 -22 -box 0 0 11344 8338 -use example_por example_por_0 -timestamp 1639841760 -transform -1 0 11285 0 1 -14 -box 0 0 11344 8338 -<< end >> diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag deleted file mode 100644 index f1198f1..0000000 --- a/mag/user_analog_project_wrapper.mag +++ /dev/null @@ -1,2362 +0,0 @@ -magic -tech $PDK -magscale 1 2 -timestamp 1639841760 -<< mvpsubdiff >> -rect 345740 628255 345764 629032 -rect 371078 628255 371102 629032 -<< mvpsubdiffcont >> -rect 345764 628255 371078 629032 -<< locali >> -rect 345748 628255 345764 629032 -rect 371078 628255 371094 629032 -<< viali >> -rect 357593 628300 359298 629000 -<< metal1 >> -rect 357470 629399 359442 629457 -rect 357470 628057 357538 629399 -rect 359388 628057 359442 629399 -rect 357470 627990 359442 628057 -<< via1 >> -rect 357538 629000 359388 629399 -rect 357538 628300 357593 629000 -rect 357593 628300 359298 629000 -rect 359298 628300 359388 629000 -rect 357538 628057 359388 628300 -<< metal2 >> -rect 357470 629399 359442 629457 -rect 357470 628057 357538 629399 -rect 359388 628057 359442 629399 -rect 357470 627990 359442 628057 -rect 524 -800 636 480 -rect 1706 -800 1818 480 -rect 2888 -800 3000 480 -rect 4070 -800 4182 480 -rect 5252 -800 5364 480 -rect 6434 -800 6546 480 -rect 7616 -800 7728 480 -rect 8798 -800 8910 480 -rect 9980 -800 10092 480 -rect 11162 -800 11274 480 -rect 12344 -800 12456 480 -rect 13526 -800 13638 480 -rect 14708 -800 14820 480 -rect 15890 -800 16002 480 -rect 17072 -800 17184 480 -rect 18254 -800 18366 480 -rect 19436 -800 19548 480 -rect 20618 -800 20730 480 -rect 21800 -800 21912 480 -rect 22982 -800 23094 480 -rect 24164 -800 24276 480 -rect 25346 -800 25458 480 -rect 26528 -800 26640 480 -rect 27710 -800 27822 480 -rect 28892 -800 29004 480 -rect 30074 -800 30186 480 -rect 31256 -800 31368 480 -rect 32438 -800 32550 480 -rect 33620 -800 33732 480 -rect 34802 -800 34914 480 -rect 35984 -800 36096 480 -rect 37166 -800 37278 480 -rect 38348 -800 38460 480 -rect 39530 -800 39642 480 -rect 40712 -800 40824 480 -rect 41894 -800 42006 480 -rect 43076 -800 43188 480 -rect 44258 -800 44370 480 -rect 45440 -800 45552 480 -rect 46622 -800 46734 480 -rect 47804 -800 47916 480 -rect 48986 -800 49098 480 -rect 50168 -800 50280 480 -rect 51350 -800 51462 480 -rect 52532 -800 52644 480 -rect 53714 -800 53826 480 -rect 54896 -800 55008 480 -rect 56078 -800 56190 480 -rect 57260 -800 57372 480 -rect 58442 -800 58554 480 -rect 59624 -800 59736 480 -rect 60806 -800 60918 480 -rect 61988 -800 62100 480 -rect 63170 -800 63282 480 -rect 64352 -800 64464 480 -rect 65534 -800 65646 480 -rect 66716 -800 66828 480 -rect 67898 -800 68010 480 -rect 69080 -800 69192 480 -rect 70262 -800 70374 480 -rect 71444 -800 71556 480 -rect 72626 -800 72738 480 -rect 73808 -800 73920 480 -rect 74990 -800 75102 480 -rect 76172 -800 76284 480 -rect 77354 -800 77466 480 -rect 78536 -800 78648 480 -rect 79718 -800 79830 480 -rect 80900 -800 81012 480 -rect 82082 -800 82194 480 -rect 83264 -800 83376 480 -rect 84446 -800 84558 480 -rect 85628 -800 85740 480 -rect 86810 -800 86922 480 -rect 87992 -800 88104 480 -rect 89174 -800 89286 480 -rect 90356 -800 90468 480 -rect 91538 -800 91650 480 -rect 92720 -800 92832 480 -rect 93902 -800 94014 480 -rect 95084 -800 95196 480 -rect 96266 -800 96378 480 -rect 97448 -800 97560 480 -rect 98630 -800 98742 480 -rect 99812 -800 99924 480 -rect 100994 -800 101106 480 -rect 102176 -800 102288 480 -rect 103358 -800 103470 480 -rect 104540 -800 104652 480 -rect 105722 -800 105834 480 -rect 106904 -800 107016 480 -rect 108086 -800 108198 480 -rect 109268 -800 109380 480 -rect 110450 -800 110562 480 -rect 111632 -800 111744 480 -rect 112814 -800 112926 480 -rect 113996 -800 114108 480 -rect 115178 -800 115290 480 -rect 116360 -800 116472 480 -rect 117542 -800 117654 480 -rect 118724 -800 118836 480 -rect 119906 -800 120018 480 -rect 121088 -800 121200 480 -rect 122270 -800 122382 480 -rect 123452 -800 123564 480 -rect 124634 -800 124746 480 -rect 125816 -800 125928 480 -rect 126998 -800 127110 480 -rect 128180 -800 128292 480 -rect 129362 -800 129474 480 -rect 130544 -800 130656 480 -rect 131726 -800 131838 480 -rect 132908 -800 133020 480 -rect 134090 -800 134202 480 -rect 135272 -800 135384 480 -rect 136454 -800 136566 480 -rect 137636 -800 137748 480 -rect 138818 -800 138930 480 -rect 140000 -800 140112 480 -rect 141182 -800 141294 480 -rect 142364 -800 142476 480 -rect 143546 -800 143658 480 -rect 144728 -800 144840 480 -rect 145910 -800 146022 480 -rect 147092 -800 147204 480 -rect 148274 -800 148386 480 -rect 149456 -800 149568 480 -rect 150638 -800 150750 480 -rect 151820 -800 151932 480 -rect 153002 -800 153114 480 -rect 154184 -800 154296 480 -rect 155366 -800 155478 480 -rect 156548 -800 156660 480 -rect 157730 -800 157842 480 -rect 158912 -800 159024 480 -rect 160094 -800 160206 480 -rect 161276 -800 161388 480 -rect 162458 -800 162570 480 -rect 163640 -800 163752 480 -rect 164822 -800 164934 480 -rect 166004 -800 166116 480 -rect 167186 -800 167298 480 -rect 168368 -800 168480 480 -rect 169550 -800 169662 480 -rect 170732 -800 170844 480 -rect 171914 -800 172026 480 -rect 173096 -800 173208 480 -rect 174278 -800 174390 480 -rect 175460 -800 175572 480 -rect 176642 -800 176754 480 -rect 177824 -800 177936 480 -rect 179006 -800 179118 480 -rect 180188 -800 180300 480 -rect 181370 -800 181482 480 -rect 182552 -800 182664 480 -rect 183734 -800 183846 480 -rect 184916 -800 185028 480 -rect 186098 -800 186210 480 -rect 187280 -800 187392 480 -rect 188462 -800 188574 480 -rect 189644 -800 189756 480 -rect 190826 -800 190938 480 -rect 192008 -800 192120 480 -rect 193190 -800 193302 480 -rect 194372 -800 194484 480 -rect 195554 -800 195666 480 -rect 196736 -800 196848 480 -rect 197918 -800 198030 480 -rect 199100 -800 199212 480 -rect 200282 -800 200394 480 -rect 201464 -800 201576 480 -rect 202646 -800 202758 480 -rect 203828 -800 203940 480 -rect 205010 -800 205122 480 -rect 206192 -800 206304 480 -rect 207374 -800 207486 480 -rect 208556 -800 208668 480 -rect 209738 -800 209850 480 -rect 210920 -800 211032 480 -rect 212102 -800 212214 480 -rect 213284 -800 213396 480 -rect 214466 -800 214578 480 -rect 215648 -800 215760 480 -rect 216830 -800 216942 480 -rect 218012 -800 218124 480 -rect 219194 -800 219306 480 -rect 220376 -800 220488 480 -rect 221558 -800 221670 480 -rect 222740 -800 222852 480 -rect 223922 -800 224034 480 -rect 225104 -800 225216 480 -rect 226286 -800 226398 480 -rect 227468 -800 227580 480 -rect 228650 -800 228762 480 -rect 229832 -800 229944 480 -rect 231014 -800 231126 480 -rect 232196 -800 232308 480 -rect 233378 -800 233490 480 -rect 234560 -800 234672 480 -rect 235742 -800 235854 480 -rect 236924 -800 237036 480 -rect 238106 -800 238218 480 -rect 239288 -800 239400 480 -rect 240470 -800 240582 480 -rect 241652 -800 241764 480 -rect 242834 -800 242946 480 -rect 244016 -800 244128 480 -rect 245198 -800 245310 480 -rect 246380 -800 246492 480 -rect 247562 -800 247674 480 -rect 248744 -800 248856 480 -rect 249926 -800 250038 480 -rect 251108 -800 251220 480 -rect 252290 -800 252402 480 -rect 253472 -800 253584 480 -rect 254654 -800 254766 480 -rect 255836 -800 255948 480 -rect 257018 -800 257130 480 -rect 258200 -800 258312 480 -rect 259382 -800 259494 480 -rect 260564 -800 260676 480 -rect 261746 -800 261858 480 -rect 262928 -800 263040 480 -rect 264110 -800 264222 480 -rect 265292 -800 265404 480 -rect 266474 -800 266586 480 -rect 267656 -800 267768 480 -rect 268838 -800 268950 480 -rect 270020 -800 270132 480 -rect 271202 -800 271314 480 -rect 272384 -800 272496 480 -rect 273566 -800 273678 480 -rect 274748 -800 274860 480 -rect 275930 -800 276042 480 -rect 277112 -800 277224 480 -rect 278294 -800 278406 480 -rect 279476 -800 279588 480 -rect 280658 -800 280770 480 -rect 281840 -800 281952 480 -rect 283022 -800 283134 480 -rect 284204 -800 284316 480 -rect 285386 -800 285498 480 -rect 286568 -800 286680 480 -rect 287750 -800 287862 480 -rect 288932 -800 289044 480 -rect 290114 -800 290226 480 -rect 291296 -800 291408 480 -rect 292478 -800 292590 480 -rect 293660 -800 293772 480 -rect 294842 -800 294954 480 -rect 296024 -800 296136 480 -rect 297206 -800 297318 480 -rect 298388 -800 298500 480 -rect 299570 -800 299682 480 -rect 300752 -800 300864 480 -rect 301934 -800 302046 480 -rect 303116 -800 303228 480 -rect 304298 -800 304410 480 -rect 305480 -800 305592 480 -rect 306662 -800 306774 480 -rect 307844 -800 307956 480 -rect 309026 -800 309138 480 -rect 310208 -800 310320 480 -rect 311390 -800 311502 480 -rect 312572 -800 312684 480 -rect 313754 -800 313866 480 -rect 314936 -800 315048 480 -rect 316118 -800 316230 480 -rect 317300 -800 317412 480 -rect 318482 -800 318594 480 -rect 319664 -800 319776 480 -rect 320846 -800 320958 480 -rect 322028 -800 322140 480 -rect 323210 -800 323322 480 -rect 324392 -800 324504 480 -rect 325574 -800 325686 480 -rect 326756 -800 326868 480 -rect 327938 -800 328050 480 -rect 329120 -800 329232 480 -rect 330302 -800 330414 480 -rect 331484 -800 331596 480 -rect 332666 -800 332778 480 -rect 333848 -800 333960 480 -rect 335030 -800 335142 480 -rect 336212 -800 336324 480 -rect 337394 -800 337506 480 -rect 338576 -800 338688 480 -rect 339758 -800 339870 480 -rect 340940 -800 341052 480 -rect 342122 -800 342234 480 -rect 343304 -800 343416 480 -rect 344486 -800 344598 480 -rect 345668 -800 345780 480 -rect 346850 -800 346962 480 -rect 348032 -800 348144 480 -rect 349214 -800 349326 480 -rect 350396 -800 350508 480 -rect 351578 -800 351690 480 -rect 352760 -800 352872 480 -rect 353942 -800 354054 480 -rect 355124 -800 355236 480 -rect 356306 -800 356418 480 -rect 357488 -800 357600 480 -rect 358670 -800 358782 480 -rect 359852 -800 359964 480 -rect 361034 -800 361146 480 -rect 362216 -800 362328 480 -rect 363398 -800 363510 480 -rect 364580 -800 364692 480 -rect 365762 -800 365874 480 -rect 366944 -800 367056 480 -rect 368126 -800 368238 480 -rect 369308 -800 369420 480 -rect 370490 -800 370602 480 -rect 371672 -800 371784 480 -rect 372854 -800 372966 480 -rect 374036 -800 374148 480 -rect 375218 -800 375330 480 -rect 376400 -800 376512 480 -rect 377582 -800 377694 480 -rect 378764 -800 378876 480 -rect 379946 -800 380058 480 -rect 381128 -800 381240 480 -rect 382310 -800 382422 480 -rect 383492 -800 383604 480 -rect 384674 -800 384786 480 -rect 385856 -800 385968 480 -rect 387038 -800 387150 480 -rect 388220 -800 388332 480 -rect 389402 -800 389514 480 -rect 390584 -800 390696 480 -rect 391766 -800 391878 480 -rect 392948 -800 393060 480 -rect 394130 -800 394242 480 -rect 395312 -800 395424 480 -rect 396494 -800 396606 480 -rect 397676 -800 397788 480 -rect 398858 -800 398970 480 -rect 400040 -800 400152 480 -rect 401222 -800 401334 480 -rect 402404 -800 402516 480 -rect 403586 -800 403698 480 -rect 404768 -800 404880 480 -rect 405950 -800 406062 480 -rect 407132 -800 407244 480 -rect 408314 -800 408426 480 -rect 409496 -800 409608 480 -rect 410678 -800 410790 480 -rect 411860 -800 411972 480 -rect 413042 -800 413154 480 -rect 414224 -800 414336 480 -rect 415406 -800 415518 480 -rect 416588 -800 416700 480 -rect 417770 -800 417882 480 -rect 418952 -800 419064 480 -rect 420134 -800 420246 480 -rect 421316 -800 421428 480 -rect 422498 -800 422610 480 -rect 423680 -800 423792 480 -rect 424862 -800 424974 480 -rect 426044 -800 426156 480 -rect 427226 -800 427338 480 -rect 428408 -800 428520 480 -rect 429590 -800 429702 480 -rect 430772 -800 430884 480 -rect 431954 -800 432066 480 -rect 433136 -800 433248 480 -rect 434318 -800 434430 480 -rect 435500 -800 435612 480 -rect 436682 -800 436794 480 -rect 437864 -800 437976 480 -rect 439046 -800 439158 480 -rect 440228 -800 440340 480 -rect 441410 -800 441522 480 -rect 442592 -800 442704 480 -rect 443774 -800 443886 480 -rect 444956 -800 445068 480 -rect 446138 -800 446250 480 -rect 447320 -800 447432 480 -rect 448502 -800 448614 480 -rect 449684 -800 449796 480 -rect 450866 -800 450978 480 -rect 452048 -800 452160 480 -rect 453230 -800 453342 480 -rect 454412 -800 454524 480 -rect 455594 -800 455706 480 -rect 456776 -800 456888 480 -rect 457958 -800 458070 480 -rect 459140 -800 459252 480 -rect 460322 -800 460434 480 -rect 461504 -800 461616 480 -rect 462686 -800 462798 480 -rect 463868 -800 463980 480 -rect 465050 -800 465162 480 -rect 466232 -800 466344 480 -rect 467414 -800 467526 480 -rect 468596 -800 468708 480 -rect 469778 -800 469890 480 -rect 470960 -800 471072 480 -rect 472142 -800 472254 480 -rect 473324 -800 473436 480 -rect 474506 -800 474618 480 -rect 475688 -800 475800 480 -rect 476870 -800 476982 480 -rect 478052 -800 478164 480 -rect 479234 -800 479346 480 -rect 480416 -800 480528 480 -rect 481598 -800 481710 480 -rect 482780 -800 482892 480 -rect 483962 -800 484074 480 -rect 485144 -800 485256 480 -rect 486326 -800 486438 480 -rect 487508 -800 487620 480 -rect 488690 -800 488802 480 -rect 489872 -800 489984 480 -rect 491054 -800 491166 480 -rect 492236 -800 492348 480 -rect 493418 -800 493530 480 -rect 494600 -800 494712 480 -rect 495782 -800 495894 480 -rect 496964 -800 497076 480 -rect 498146 -800 498258 480 -rect 499328 -800 499440 480 -rect 500510 -800 500622 480 -rect 501692 -800 501804 480 -rect 502874 -800 502986 480 -rect 504056 -800 504168 480 -rect 505238 -800 505350 480 -rect 506420 -800 506532 480 -rect 507602 -800 507714 480 -rect 508784 -800 508896 480 -rect 509966 -800 510078 480 -rect 511148 -800 511260 480 -rect 512330 -800 512442 480 -rect 513512 -800 513624 480 -rect 514694 -800 514806 480 -rect 515876 -800 515988 480 -rect 517058 -800 517170 480 -rect 518240 -800 518352 480 -rect 519422 -800 519534 480 -rect 520604 -800 520716 480 -rect 521786 -800 521898 480 -rect 522968 -800 523080 480 -rect 524150 -800 524262 480 -rect 525332 -800 525444 480 -rect 526514 -800 526626 480 -rect 527696 -800 527808 480 -rect 528878 -800 528990 480 -rect 530060 -800 530172 480 -rect 531242 -800 531354 480 -rect 532424 -800 532536 480 -rect 533606 -800 533718 480 -rect 534788 -800 534900 480 -rect 535970 -800 536082 480 -rect 537152 -800 537264 480 -rect 538334 -800 538446 480 -rect 539516 -800 539628 480 -rect 540698 -800 540810 480 -rect 541880 -800 541992 480 -rect 543062 -800 543174 480 -rect 544244 -800 544356 480 -rect 545426 -800 545538 480 -rect 546608 -800 546720 480 -rect 547790 -800 547902 480 -rect 548972 -800 549084 480 -rect 550154 -800 550266 480 -rect 551336 -800 551448 480 -rect 552518 -800 552630 480 -rect 553700 -800 553812 480 -rect 554882 -800 554994 480 -rect 556064 -800 556176 480 -rect 557246 -800 557358 480 -rect 558428 -800 558540 480 -rect 559610 -800 559722 480 -rect 560792 -800 560904 480 -rect 561974 -800 562086 480 -rect 563156 -800 563268 480 -rect 564338 -800 564450 480 -rect 565520 -800 565632 480 -rect 566702 -800 566814 480 -rect 567884 -800 567996 480 -rect 569066 -800 569178 480 -rect 570248 -800 570360 480 -rect 571430 -800 571542 480 -rect 572612 -800 572724 480 -rect 573794 -800 573906 480 -rect 574976 -800 575088 480 -rect 576158 -800 576270 480 -rect 577340 -800 577452 480 -rect 578522 -800 578634 480 -rect 579704 -800 579816 480 -rect 580886 -800 580998 480 -rect 582068 -800 582180 480 -rect 583250 -800 583362 480 -<< via2 >> -rect 357538 628057 359388 629399 -<< metal3 >> -rect 16194 702300 21194 704800 -rect 68194 702300 73194 704800 -rect 120194 702300 125194 704800 -rect 165594 702300 170594 704800 -rect 170894 700788 173094 704800 -rect 170894 690603 173094 700738 -rect -800 680242 1700 685242 -rect 170894 683764 173094 684327 -rect 173394 700786 175594 704800 -rect 175894 702300 180894 704800 -rect 217294 702300 222294 704800 -rect 173394 690603 175594 700736 -rect 173394 683764 175594 684327 -rect 222594 700836 224794 704800 -rect 222594 690636 224794 700786 -rect 222594 683913 224794 684360 -rect 225094 700846 227294 704800 -rect 227594 702300 232594 704800 -rect 225094 690636 227294 700796 -rect 225094 683913 227294 684360 -rect 318994 649497 323994 704800 -rect 324294 701130 326494 704800 -rect 324294 690618 326494 701080 -rect 326794 701150 328994 704800 -rect 326794 694292 328994 701100 -rect 329294 694292 334294 704800 -rect 413394 702300 418394 704800 -rect 465394 702300 470394 704800 -rect 326794 692092 334294 694292 -rect 324294 684038 326494 684344 -rect -800 643842 1660 648642 -rect 318994 642983 323994 643740 -rect 329294 649497 334294 692092 -rect 329294 642983 334294 643740 -rect 510594 690564 515394 704800 -rect -800 633842 1660 638642 -rect 510594 637598 515394 684332 -rect 510594 631116 515394 631780 -rect 520594 690564 525394 704800 -rect 566594 702300 571594 704800 -rect 520594 637598 525394 684332 -rect 582300 677984 584800 682984 -rect 560050 639784 560566 644584 -rect 566742 639784 584800 644584 -rect 520594 631116 525394 631780 -rect 560050 629784 560566 634584 -rect 566742 629784 584800 634584 -rect 357470 629399 359442 629457 -rect 357470 628057 357538 629399 -rect 359388 628057 359442 629399 -rect 357470 627990 359442 628057 -rect 339960 620294 345660 620363 -rect 371099 620302 533609 620371 -rect -800 559442 1660 564242 -rect -800 549442 1660 554242 -rect 339960 511642 340072 620294 -rect 341733 619574 341739 619684 -rect 341849 619637 341855 619684 -rect 533089 619645 533095 619647 -rect 341849 619577 345660 619637 -rect 371099 619585 533095 619645 -rect 533089 619583 533095 619585 -rect 533159 619583 533165 619647 -rect 341849 619574 341855 619577 -rect 533105 619280 533111 619282 -rect -800 511530 340072 511642 -rect 340967 619212 345660 619272 -rect 371099 619220 533111 619280 -rect 533105 619218 533111 619220 -rect 533175 619218 533181 619282 -rect -800 510348 480 510460 -rect -800 509166 480 509278 -rect -800 507984 480 508096 -rect -800 506802 480 506914 -rect -800 505620 480 505732 -rect -800 468308 480 468420 -rect -800 467126 480 467238 -rect -800 465944 480 466056 -rect -800 464762 480 464874 -rect 340967 463692 341079 619212 -rect -800 463580 341079 463692 -rect 341738 618632 341850 618638 -rect -800 462398 660 462510 -rect 780 462398 13894 462510 -rect 17564 462398 17711 462510 -rect -800 425086 480 425198 -rect -800 423904 480 424016 -rect -800 422722 480 422834 -rect -800 421540 480 421652 -rect 341738 420470 341850 618520 -rect -800 420358 341850 420470 -rect -800 419176 676 419288 -rect 738 419176 13887 419288 -rect 17599 419176 17694 419288 -rect 533497 405408 533609 620302 -rect 533894 619647 533958 619653 -rect 533958 619585 539606 619645 -rect 533894 619577 533958 619583 -rect 533904 619282 533968 619288 -rect 533968 619220 537488 619280 -rect 533904 619212 533968 619218 -rect 537376 454558 537488 619220 -rect 539494 498980 539606 619585 -rect 583520 589472 584800 589584 -rect 583520 588290 584800 588402 -rect 583520 587108 584800 587220 -rect 583520 585926 584800 586038 -rect 583520 584744 584800 584856 -rect 583520 583562 584800 583674 -rect 555452 550562 556229 555362 -rect 562346 550562 584800 555362 -rect 555452 540562 556229 545362 -rect 562346 540562 584800 545362 -rect 573371 500050 573548 500162 -rect 576743 500050 583220 500162 -rect 583318 500050 584800 500162 -rect 539494 498868 584800 498980 -rect 583520 497686 584800 497798 -rect 583520 496504 584800 496616 -rect 583520 495322 584800 495434 -rect 583520 494140 584800 494252 -rect 573405 455628 573556 455740 -rect 576731 455628 583180 455740 -rect 583296 455628 584800 455740 -rect 537376 454446 584800 454558 -rect 583520 453264 584800 453376 -rect 583520 452082 584800 452194 -rect 583520 450900 584800 451012 -rect 583520 449718 584800 449830 -rect 583520 411206 584800 411318 -rect 583520 410024 584800 410136 -rect 583520 408842 584800 408954 -rect 583520 407660 584800 407772 -rect 583520 406478 584800 406590 -rect 533497 405296 584800 405408 -rect -800 381864 480 381976 -rect -800 380682 480 380794 -rect -800 379500 480 379612 -rect -800 378318 480 378430 -rect -800 377136 480 377248 -rect -800 375954 480 376066 -rect 583520 364784 584800 364896 -rect 583520 363602 584800 363714 -rect 583520 362420 584800 362532 -rect 583520 361238 584800 361350 -rect 583520 360056 584800 360168 -rect 583520 358874 584800 358986 -rect -800 338642 480 338754 -rect -800 337460 480 337572 -rect -800 336278 480 336390 -rect -800 335096 480 335208 -rect -800 333914 480 334026 -rect -800 332732 480 332844 -rect 583520 319562 584800 319674 -rect 583520 318380 584800 318492 -rect 583520 317198 584800 317310 -rect 583520 316016 584800 316128 -rect 583520 314834 584800 314946 -rect 583520 313652 584800 313764 -rect -800 295420 480 295532 -rect -800 294238 480 294350 -rect -800 293056 480 293168 -rect -800 291874 480 291986 -rect -800 290692 480 290804 -rect -800 289510 480 289622 -rect 583520 275140 584800 275252 -rect 583520 273958 584800 274070 -rect 583520 272776 584800 272888 -rect 583520 271594 584800 271706 -rect 583520 270412 584800 270524 -rect 583520 269230 584800 269342 -rect -800 252398 480 252510 -rect -800 251216 480 251328 -rect -800 250034 480 250146 -rect -800 248852 480 248964 -rect -800 247670 480 247782 -rect -800 246488 480 246600 -rect 582340 235230 584800 240030 -rect 582340 225230 584800 230030 -rect -800 214888 1660 219688 -rect -800 204888 1660 209688 -rect 13406 191430 13991 196230 -rect 17427 191430 573605 196230 -rect 576629 191430 584800 196230 -rect 582340 181430 584800 186230 -rect -800 172888 1660 177688 -rect -800 162888 1660 167688 -rect 582340 146830 584800 151630 -rect 582340 136830 584800 141630 -rect -800 124776 480 124888 -rect -800 123594 480 123706 -rect -800 122412 480 122524 -rect -800 121230 480 121342 -rect -800 120048 480 120160 -rect -800 118866 480 118978 -rect 583520 95118 584800 95230 -rect 583520 93936 584800 94048 -rect 583520 92754 584800 92866 -rect 583520 91572 584800 91684 -rect -800 81554 480 81666 -rect -800 80372 480 80484 -rect -800 79190 480 79302 -rect -800 78008 480 78120 -rect -800 76826 480 76938 -rect -800 75644 480 75756 -rect 583520 50460 584800 50572 -rect 583520 49278 584800 49390 -rect 583520 48096 584800 48208 -rect 583520 46914 584800 47026 -rect -800 38332 480 38444 -rect -800 37150 480 37262 -rect -800 35968 480 36080 -rect -800 34786 480 34898 -rect -800 33604 480 33716 -rect -800 32422 480 32534 -rect 583520 24002 584800 24114 -rect 583520 22820 584800 22932 -rect 583520 21638 584800 21750 -rect 583520 20456 584800 20568 -rect 583520 19274 584800 19386 -rect 583520 18092 584800 18204 -rect -800 16910 480 17022 -rect 583520 16910 584800 17022 -rect -800 15728 480 15840 -rect 583520 15728 584800 15840 -rect -800 14546 480 14658 -rect 583520 14546 584800 14658 -rect -800 13364 480 13476 -rect 583520 13364 584800 13476 -rect -800 12182 480 12294 -rect 583520 12182 584800 12294 -rect -800 11000 480 11112 -rect 583520 11000 584800 11112 -rect -800 9818 480 9930 -rect 583520 9818 584800 9930 -rect -800 8636 480 8748 -rect 583520 8636 584800 8748 -rect -800 7454 480 7566 -rect 583520 7454 584800 7566 -rect -800 6272 480 6384 -rect 583520 6272 584800 6384 -rect -800 5090 480 5202 -rect 583520 5090 584800 5202 -rect -800 3908 480 4020 -rect 583520 3908 584800 4020 -rect -800 2726 480 2838 -rect 583520 2726 584800 2838 -rect -800 1544 480 1656 -rect 583520 1544 584800 1656 -<< rmetal3 >> -rect 170894 700738 173094 700788 -rect 173394 700736 175594 700786 -rect 222594 700786 224794 700836 -rect 225094 700796 227294 700846 -rect 324294 701080 326494 701130 -rect 326794 701100 328994 701150 -rect 660 462398 780 462510 -rect 676 419176 738 419288 -rect 583220 500050 583318 500162 -rect 583180 455628 583296 455740 -<< via3 >> -rect 170894 684327 173094 690603 -rect 173394 684327 175594 690603 -rect 222594 684360 224794 690636 -rect 225094 684360 227294 690636 -rect 324294 684344 326494 690618 -rect 318994 643740 323994 649497 -rect 329294 643740 334294 649497 -rect 510594 684332 515394 690564 -rect 510594 631780 515394 637598 -rect 520594 684332 525394 690564 -rect 560566 639784 566742 644584 -rect 520594 631780 525394 637598 -rect 560566 629784 566742 634584 -rect 357538 628057 359388 629399 -rect 341739 619574 341849 619684 -rect 533095 619583 533159 619647 -rect 533111 619218 533175 619282 -rect 341738 618520 341850 618632 -rect 13894 462398 17564 462510 -rect 13887 419176 17599 419288 -rect 533894 619583 533958 619647 -rect 533904 619218 533968 619282 -rect 556229 550562 562346 555362 -rect 556229 540562 562346 545362 -rect 573548 500050 576743 500162 -rect 573556 455628 576731 455740 -rect 13991 191430 17427 196230 -rect 573605 191430 576629 196230 -<< metal4 >> -rect 165594 702300 170594 704800 -rect 175894 702300 180894 704800 -rect 217294 702300 222294 704800 -rect 227594 702300 232594 704800 -rect 318994 702300 323994 704800 -rect 329294 702300 334294 704800 -rect 170628 690636 526162 690737 -rect 170628 690603 222594 690636 -rect 170628 684327 170894 690603 -rect 173094 684327 173394 690603 -rect 175594 684360 222594 690603 -rect 224794 684360 225094 690636 -rect 227294 690618 526162 690636 -rect 227294 684360 324294 690618 -rect 175594 684344 324294 684360 -rect 326494 690564 526162 690618 -rect 326494 684344 510594 690564 -rect 175594 684332 510594 684344 -rect 515394 684332 520594 690564 -rect 525394 684332 526162 690564 -rect 175594 684327 526162 684332 -rect 170628 684183 526162 684327 -rect 318330 649837 359973 649898 -rect 318330 649497 357559 649837 -rect 318330 643740 318994 649497 -rect 323994 643740 329294 649497 -rect 334294 643740 357559 649497 -rect 318330 643394 357559 643740 -rect 359314 643394 359973 649837 -rect 318330 643344 359973 643394 -rect 560425 644584 566979 644980 -rect 560425 639784 560566 644584 -rect 566742 639784 566979 644584 -rect 356144 637598 525696 637898 -rect 356144 631780 510594 637598 -rect 515394 631780 520594 637598 -rect 525394 631780 525696 637598 -rect 356144 631344 525696 631780 -rect 560425 634584 566979 639784 -rect 357442 629399 359470 631344 -rect 357442 628057 357538 629399 -rect 359388 628057 359470 629399 -rect 357442 619873 359470 628057 -rect 560425 629784 560566 634584 -rect 566742 629784 566979 634584 -rect 341738 619684 341850 619685 -rect 341738 619574 341739 619684 -rect 341849 619574 341850 619684 -rect 341738 618633 341850 619574 -rect 356867 619473 359885 619873 -rect 533094 619647 533160 619648 -rect 533094 619583 533095 619647 -rect 533159 619645 533160 619647 -rect 533893 619647 533959 619648 -rect 533893 619645 533894 619647 -rect 533159 619585 533894 619645 -rect 533159 619583 533160 619585 -rect 533094 619582 533160 619583 -rect 533893 619583 533894 619585 -rect 533958 619583 533959 619647 -rect 533893 619582 533959 619583 -rect 533110 619282 533176 619283 -rect 533110 619218 533111 619282 -rect 533175 619280 533176 619282 -rect 533903 619282 533969 619283 -rect 533903 619280 533904 619282 -rect 533175 619220 533904 619280 -rect 533175 619218 533176 619220 -rect 533110 619217 533176 619218 -rect 533903 619218 533904 619220 -rect 533968 619218 533969 619282 -rect 533903 619217 533969 619218 -rect 341737 618632 341851 618633 -rect 341737 618520 341738 618632 -rect 341850 618520 341851 618632 -rect 341737 618519 341851 618520 -rect 345773 613756 346828 618849 -rect 351928 617829 353757 618856 -rect 351928 615249 352028 617829 -rect 353603 615249 353757 617829 -rect 351928 615131 353757 615249 -rect 363328 617835 365157 618884 -rect 363328 615255 363412 617835 -rect 364987 615255 365157 617835 -rect 363328 615131 365157 615255 -rect 369823 613756 370980 618859 -rect 560425 613756 566979 629784 -rect 345256 607202 566979 613756 -rect 362658 601572 562613 601756 -rect 362658 597231 363414 601572 -rect 364992 597231 562613 601572 -rect 362658 595202 562613 597231 -rect 556059 555362 562613 595202 -rect 556059 550562 556229 555362 -rect 562346 550562 562613 555362 -rect 556059 545362 562613 550562 -rect 556059 540562 556229 545362 -rect 562346 540562 562613 545362 -rect 556059 540155 562613 540562 -rect 573464 500162 576816 500473 -rect 573464 500050 573548 500162 -rect 576743 500050 576816 500162 -rect 13814 462510 17684 462771 -rect 13814 462398 13894 462510 -rect 17564 462398 17684 462510 -rect 13814 419288 17684 462398 -rect 13814 419176 13887 419288 -rect 17599 419176 17684 419288 -rect 13814 227257 17684 419176 -rect 573464 455740 576816 500050 -rect 573464 455628 573556 455740 -rect 576731 455628 576816 455740 -rect 13811 196230 17688 227257 -rect 13811 191430 13991 196230 -rect 17427 191430 17688 196230 -rect 13811 191098 17688 191430 -rect 573464 196230 576816 455628 -rect 573464 191430 573605 196230 -rect 576629 191430 576816 196230 -rect 573464 191191 576816 191430 -<< via4 >> -rect 357559 643394 359314 649837 -rect 352028 615249 353603 617829 -rect 363412 615255 364987 617835 -rect 363414 597231 364992 601572 -<< metal5 >> -rect 165594 702300 170594 704800 -rect 175894 702300 180894 704800 -rect 217294 702300 222294 704800 -rect 227594 702300 232594 704800 -rect 318994 702300 323994 704800 -rect 329294 702300 334294 704800 -rect 357521 649837 359350 649991 -rect 357521 643394 357559 649837 -rect 359314 643394 359350 649837 -rect 351918 617829 353747 617929 -rect 351918 615249 352028 617829 -rect 353603 615249 353747 617829 -rect 351918 614900 353747 615249 -rect 357521 614900 359350 643394 -rect 351918 613071 359350 614900 -rect 363318 617835 365147 617929 -rect 363318 615255 363412 617835 -rect 364987 615255 365147 617835 -rect 363318 601572 365147 615255 -rect 363318 597231 363414 601572 -rect 364992 597231 365147 601572 -rect 363318 597052 365147 597231 -<< comment >> -rect -100 704000 584100 704100 -rect -100 0 0 704000 -rect 584000 0 584100 704000 -rect -100 -100 584100 0 -use user_analog_proj_example user_analog_proj_example_0 -timestamp 1639841760 -transform 1 0 345668 0 -1 627114 -box -59 -22 25476 8324 -<< labels >> -flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0] -port 0 nsew signal bidirectional -flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10] -port 1 nsew signal bidirectional -flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11] -port 2 nsew signal bidirectional -flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12] -port 3 nsew signal bidirectional -flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13] -port 4 nsew signal bidirectional -flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14] -port 5 nsew signal bidirectional -flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15] -port 6 nsew signal bidirectional -flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16] -port 7 nsew signal bidirectional -flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17] -port 8 nsew signal bidirectional -flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1] -port 9 nsew signal bidirectional -flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2] -port 10 nsew signal bidirectional -flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3] -port 11 nsew signal bidirectional -flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4] -port 12 nsew signal bidirectional -flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5] -port 13 nsew signal bidirectional -flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6] -port 14 nsew signal bidirectional -flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7] -port 15 nsew signal bidirectional -flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8] -port 16 nsew signal bidirectional -flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9] -port 17 nsew signal bidirectional -flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0] -port 18 nsew signal bidirectional -flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10] -port 19 nsew signal bidirectional -flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11] -port 20 nsew signal bidirectional -flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12] -port 21 nsew signal bidirectional -flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13] -port 22 nsew signal bidirectional -flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14] -port 23 nsew signal bidirectional -flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15] -port 24 nsew signal bidirectional -flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16] -port 25 nsew signal bidirectional -flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17] -port 26 nsew signal bidirectional -flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1] -port 27 nsew signal bidirectional -flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2] -port 28 nsew signal bidirectional -flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3] -port 29 nsew signal bidirectional -flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4] -port 30 nsew signal bidirectional -flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5] -port 31 nsew signal bidirectional -flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6] -port 32 nsew signal bidirectional -flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7] -port 33 nsew signal bidirectional -flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8] -port 34 nsew signal bidirectional -flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9] -port 35 nsew signal bidirectional -flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0] -port 36 nsew signal bidirectional -flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10] -port 37 nsew signal bidirectional -flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1] -port 38 nsew signal bidirectional -flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2] -port 39 nsew signal bidirectional -flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3] -port 40 nsew signal bidirectional -flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7] -port 44 nsew signal bidirectional -flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8] -port 45 nsew signal bidirectional -flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9] -port 46 nsew signal bidirectional -flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0] -port 50 nsew signal bidirectional -flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1] -port 51 nsew signal bidirectional -flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2] -port 52 nsew signal bidirectional -flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0] -port 53 nsew signal bidirectional -flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1] -port 54 nsew signal bidirectional -flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2] -port 55 nsew signal bidirectional -flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0] -port 56 nsew signal input -flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10] -port 57 nsew signal input -flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11] -port 58 nsew signal input -flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12] -port 59 nsew signal input -flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13] -port 60 nsew signal input -flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14] -port 61 nsew signal input -flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15] -port 62 nsew signal input -flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16] -port 63 nsew signal input -flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17] -port 64 nsew signal input -flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18] -port 65 nsew signal input -flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19] -port 66 nsew signal input -flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1] -port 67 nsew signal input -flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20] -port 68 nsew signal input -flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21] -port 69 nsew signal input -flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22] -port 70 nsew signal input -flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23] -port 71 nsew signal input -flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24] -port 72 nsew signal input -flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25] -port 73 nsew signal input -flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26] -port 74 nsew signal input -flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2] -port 75 nsew signal input -flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3] -port 76 nsew signal input -flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4] -port 77 nsew signal input -flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5] -port 78 nsew signal input -flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6] -port 79 nsew signal input -flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7] -port 80 nsew signal input -flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8] -port 81 nsew signal input -flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9] -port 82 nsew signal input -flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0] -port 83 nsew signal input -flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10] -port 84 nsew signal input -flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11] -port 85 nsew signal input -flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12] -port 86 nsew signal input -flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13] -port 87 nsew signal input -flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14] -port 88 nsew signal input -flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15] -port 89 nsew signal input -flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16] -port 90 nsew signal input -flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17] -port 91 nsew signal input -flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18] -port 92 nsew signal input -flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19] -port 93 nsew signal input -flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1] -port 94 nsew signal input -flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20] -port 95 nsew signal input -flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21] -port 96 nsew signal input -flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22] -port 97 nsew signal input -flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23] -port 98 nsew signal input -flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24] -port 99 nsew signal input -flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25] -port 100 nsew signal input -flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26] -port 101 nsew signal input -flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2] -port 102 nsew signal input -flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3] -port 103 nsew signal input -flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4] -port 104 nsew signal input -flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5] -port 105 nsew signal input -flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6] -port 106 nsew signal input -flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7] -port 107 nsew signal input -flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8] -port 108 nsew signal input -flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9] -port 109 nsew signal input -flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0] -port 110 nsew signal tristate -flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10] -port 111 nsew signal tristate -flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11] -port 112 nsew signal tristate -flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12] -port 113 nsew signal tristate -flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13] -port 114 nsew signal tristate -flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14] -port 115 nsew signal tristate -flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15] -port 116 nsew signal tristate -flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16] -port 117 nsew signal tristate -flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17] -port 118 nsew signal tristate -flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18] -port 119 nsew signal tristate -flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19] -port 120 nsew signal tristate -flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1] -port 121 nsew signal tristate -flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20] -port 122 nsew signal tristate -flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21] -port 123 nsew signal tristate -flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22] -port 124 nsew signal tristate -flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23] -port 125 nsew signal tristate -flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24] -port 126 nsew signal tristate -flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25] -port 127 nsew signal tristate -flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26] -port 128 nsew signal tristate -flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2] -port 129 nsew signal tristate -flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3] -port 130 nsew signal tristate -flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4] -port 131 nsew signal tristate -flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5] -port 132 nsew signal tristate -flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6] -port 133 nsew signal tristate -flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7] -port 134 nsew signal tristate -flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8] -port 135 nsew signal tristate -flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9] -port 136 nsew signal tristate -flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0] -port 137 nsew signal tristate -flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10] -port 138 nsew signal tristate -flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11] -port 139 nsew signal tristate -flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12] -port 140 nsew signal tristate -flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13] -port 141 nsew signal tristate -flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14] -port 142 nsew signal tristate -flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15] -port 143 nsew signal tristate -flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16] -port 144 nsew signal tristate -flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17] -port 145 nsew signal tristate -flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18] -port 146 nsew signal tristate -flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19] -port 147 nsew signal tristate -flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1] -port 148 nsew signal tristate -flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20] -port 149 nsew signal tristate -flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21] -port 150 nsew signal tristate -flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22] -port 151 nsew signal tristate -flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23] -port 152 nsew signal tristate -flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24] -port 153 nsew signal tristate -flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25] -port 154 nsew signal tristate -flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26] -port 155 nsew signal tristate -flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2] -port 156 nsew signal tristate -flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3] -port 157 nsew signal tristate -flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4] -port 158 nsew signal tristate -flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5] -port 159 nsew signal tristate -flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6] -port 160 nsew signal tristate -flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7] -port 161 nsew signal tristate -flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8] -port 162 nsew signal tristate -flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9] -port 163 nsew signal tristate -flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0] -port 164 nsew signal input -flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100] -port 165 nsew signal input -flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101] -port 166 nsew signal input -flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102] -port 167 nsew signal input -flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103] -port 168 nsew signal input -flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104] -port 169 nsew signal input -flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105] -port 170 nsew signal input -flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106] -port 171 nsew signal input -flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107] -port 172 nsew signal input -flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108] -port 173 nsew signal input -flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109] -port 174 nsew signal input -flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10] -port 175 nsew signal input -flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110] -port 176 nsew signal input -flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111] -port 177 nsew signal input -flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112] -port 178 nsew signal input -flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113] -port 179 nsew signal input -flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114] -port 180 nsew signal input -flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115] -port 181 nsew signal input -flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116] -port 182 nsew signal input -flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117] -port 183 nsew signal input -flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118] -port 184 nsew signal input -flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119] -port 185 nsew signal input -flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11] -port 186 nsew signal input -flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120] -port 187 nsew signal input -flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121] -port 188 nsew signal input -flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122] -port 189 nsew signal input -flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123] -port 190 nsew signal input -flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124] -port 191 nsew signal input -flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125] -port 192 nsew signal input -flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126] -port 193 nsew signal input -flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127] -port 194 nsew signal input -flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12] -port 195 nsew signal input -flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13] -port 196 nsew signal input -flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14] -port 197 nsew signal input -flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15] -port 198 nsew signal input -flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16] -port 199 nsew signal input -flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17] -port 200 nsew signal input -flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18] -port 201 nsew signal input -flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19] -port 202 nsew signal input -flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1] -port 203 nsew signal input -flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20] -port 204 nsew signal input -flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21] -port 205 nsew signal input -flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22] -port 206 nsew signal input -flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23] -port 207 nsew signal input -flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24] -port 208 nsew signal input -flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25] -port 209 nsew signal input -flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26] -port 210 nsew signal input -flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27] -port 211 nsew signal input -flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28] -port 212 nsew signal input -flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29] -port 213 nsew signal input -flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2] -port 214 nsew signal input -flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30] -port 215 nsew signal input -flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31] -port 216 nsew signal input -flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32] -port 217 nsew signal input -flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33] -port 218 nsew signal input -flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34] -port 219 nsew signal input -flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35] -port 220 nsew signal input -flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36] -port 221 nsew signal input -flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37] -port 222 nsew signal input -flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38] -port 223 nsew signal input -flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39] -port 224 nsew signal input -flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3] -port 225 nsew signal input -flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40] -port 226 nsew signal input -flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41] -port 227 nsew signal input -flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42] -port 228 nsew signal input -flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43] -port 229 nsew signal input -flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44] -port 230 nsew signal input -flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45] -port 231 nsew signal input -flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46] -port 232 nsew signal input -flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47] -port 233 nsew signal input -flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48] -port 234 nsew signal input -flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49] -port 235 nsew signal input -flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4] -port 236 nsew signal input -flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50] -port 237 nsew signal input -flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51] -port 238 nsew signal input -flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52] -port 239 nsew signal input -flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53] -port 240 nsew signal input -flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54] -port 241 nsew signal input -flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55] -port 242 nsew signal input -flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56] -port 243 nsew signal input -flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57] -port 244 nsew signal input -flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58] -port 245 nsew signal input -flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59] -port 246 nsew signal input -flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5] -port 247 nsew signal input -flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60] -port 248 nsew signal input -flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61] -port 249 nsew signal input -flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62] -port 250 nsew signal input -flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63] -port 251 nsew signal input -flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64] -port 252 nsew signal input -flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65] -port 253 nsew signal input -flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66] -port 254 nsew signal input -flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67] -port 255 nsew signal input -flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68] -port 256 nsew signal input -flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69] -port 257 nsew signal input -flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6] -port 258 nsew signal input -flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70] -port 259 nsew signal input -flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71] -port 260 nsew signal input -flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72] -port 261 nsew signal input -flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73] -port 262 nsew signal input -flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74] -port 263 nsew signal input -flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75] -port 264 nsew signal input -flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76] -port 265 nsew signal input -flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77] -port 266 nsew signal input -flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78] -port 267 nsew signal input -flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79] -port 268 nsew signal input -flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7] -port 269 nsew signal input -flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80] -port 270 nsew signal input -flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81] -port 271 nsew signal input -flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82] -port 272 nsew signal input -flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83] -port 273 nsew signal input -flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84] -port 274 nsew signal input -flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85] -port 275 nsew signal input -flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86] -port 276 nsew signal input -flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87] -port 277 nsew signal input -flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88] -port 278 nsew signal input -flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89] -port 279 nsew signal input -flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8] -port 280 nsew signal input -flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90] -port 281 nsew signal input -flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91] -port 282 nsew signal input -flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92] -port 283 nsew signal input -flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93] -port 284 nsew signal input -flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94] -port 285 nsew signal input -flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95] -port 286 nsew signal input -flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96] -port 287 nsew signal input -flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97] -port 288 nsew signal input -flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98] -port 289 nsew signal input -flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99] -port 290 nsew signal input -flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9] -port 291 nsew signal input -flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0] -port 292 nsew signal tristate -flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100] -port 293 nsew signal tristate -flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101] -port 294 nsew signal tristate -flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102] -port 295 nsew signal tristate -flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103] -port 296 nsew signal tristate -flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104] -port 297 nsew signal tristate -flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105] -port 298 nsew signal tristate -flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106] -port 299 nsew signal tristate -flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107] -port 300 nsew signal tristate -flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108] -port 301 nsew signal tristate -flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109] -port 302 nsew signal tristate -flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10] -port 303 nsew signal tristate -flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110] -port 304 nsew signal tristate -flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111] -port 305 nsew signal tristate -flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112] -port 306 nsew signal tristate -flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113] -port 307 nsew signal tristate -flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114] -port 308 nsew signal tristate -flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115] -port 309 nsew signal tristate -flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116] -port 310 nsew signal tristate -flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117] -port 311 nsew signal tristate -flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118] -port 312 nsew signal tristate -flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119] -port 313 nsew signal tristate -flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11] -port 314 nsew signal tristate -flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120] -port 315 nsew signal tristate -flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121] -port 316 nsew signal tristate -flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122] -port 317 nsew signal tristate -flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123] -port 318 nsew signal tristate -flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124] -port 319 nsew signal tristate -flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125] -port 320 nsew signal tristate -flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126] -port 321 nsew signal tristate -flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127] -port 322 nsew signal tristate -flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12] -port 323 nsew signal tristate -flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13] -port 324 nsew signal tristate -flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14] -port 325 nsew signal tristate -flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15] -port 326 nsew signal tristate -flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16] -port 327 nsew signal tristate -flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17] -port 328 nsew signal tristate -flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18] -port 329 nsew signal tristate -flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19] -port 330 nsew signal tristate -flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1] -port 331 nsew signal tristate -flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20] -port 332 nsew signal tristate -flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21] -port 333 nsew signal tristate -flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22] -port 334 nsew signal tristate -flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23] -port 335 nsew signal tristate -flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24] -port 336 nsew signal tristate -flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25] -port 337 nsew signal tristate -flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26] -port 338 nsew signal tristate -flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27] -port 339 nsew signal tristate -flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28] -port 340 nsew signal tristate -flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29] -port 341 nsew signal tristate -flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2] -port 342 nsew signal tristate -flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30] -port 343 nsew signal tristate -flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31] -port 344 nsew signal tristate -flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32] -port 345 nsew signal tristate -flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33] -port 346 nsew signal tristate -flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34] -port 347 nsew signal tristate -flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35] -port 348 nsew signal tristate -flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36] -port 349 nsew signal tristate -flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37] -port 350 nsew signal tristate -flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38] -port 351 nsew signal tristate -flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39] -port 352 nsew signal tristate -flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3] -port 353 nsew signal tristate -flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40] -port 354 nsew signal tristate -flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41] -port 355 nsew signal tristate -flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42] -port 356 nsew signal tristate -flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43] -port 357 nsew signal tristate -flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44] -port 358 nsew signal tristate -flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45] -port 359 nsew signal tristate -flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46] -port 360 nsew signal tristate -flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47] -port 361 nsew signal tristate -flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48] -port 362 nsew signal tristate -flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49] -port 363 nsew signal tristate -flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4] -port 364 nsew signal tristate -flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50] -port 365 nsew signal tristate -flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51] -port 366 nsew signal tristate -flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52] -port 367 nsew signal tristate -flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53] -port 368 nsew signal tristate -flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54] -port 369 nsew signal tristate -flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55] -port 370 nsew signal tristate -flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56] -port 371 nsew signal tristate -flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57] -port 372 nsew signal tristate -flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58] -port 373 nsew signal tristate -flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59] -port 374 nsew signal tristate -flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5] -port 375 nsew signal tristate -flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60] -port 376 nsew signal tristate -flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61] -port 377 nsew signal tristate -flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62] -port 378 nsew signal tristate -flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63] -port 379 nsew signal tristate -flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64] -port 380 nsew signal tristate -flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65] -port 381 nsew signal tristate -flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66] -port 382 nsew signal tristate -flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67] -port 383 nsew signal tristate -flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68] -port 384 nsew signal tristate -flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69] -port 385 nsew signal tristate -flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6] -port 386 nsew signal tristate -flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70] -port 387 nsew signal tristate -flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71] -port 388 nsew signal tristate -flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72] -port 389 nsew signal tristate -flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73] -port 390 nsew signal tristate -flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74] -port 391 nsew signal tristate -flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75] -port 392 nsew signal tristate -flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76] -port 393 nsew signal tristate -flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77] -port 394 nsew signal tristate -flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78] -port 395 nsew signal tristate -flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79] -port 396 nsew signal tristate -flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7] -port 397 nsew signal tristate -flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80] -port 398 nsew signal tristate -flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81] -port 399 nsew signal tristate -flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82] -port 400 nsew signal tristate -flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83] -port 401 nsew signal tristate -flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84] -port 402 nsew signal tristate -flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85] -port 403 nsew signal tristate -flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86] -port 404 nsew signal tristate -flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87] -port 405 nsew signal tristate -flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88] -port 406 nsew signal tristate -flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89] -port 407 nsew signal tristate -flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8] -port 408 nsew signal tristate -flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90] -port 409 nsew signal tristate -flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91] -port 410 nsew signal tristate -flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92] -port 411 nsew signal tristate -flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93] -port 412 nsew signal tristate -flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94] -port 413 nsew signal tristate -flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95] -port 414 nsew signal tristate -flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96] -port 415 nsew signal tristate -flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97] -port 416 nsew signal tristate -flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98] -port 417 nsew signal tristate -flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99] -port 418 nsew signal tristate -flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9] -port 419 nsew signal tristate -flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0] -port 420 nsew signal input -flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100] -port 421 nsew signal input -flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101] -port 422 nsew signal input -flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102] -port 423 nsew signal input -flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103] -port 424 nsew signal input -flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104] -port 425 nsew signal input -flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105] -port 426 nsew signal input -flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106] -port 427 nsew signal input -flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107] -port 428 nsew signal input -flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108] -port 429 nsew signal input -flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109] -port 430 nsew signal input -flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10] -port 431 nsew signal input -flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110] -port 432 nsew signal input -flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111] -port 433 nsew signal input -flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112] -port 434 nsew signal input -flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113] -port 435 nsew signal input -flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114] -port 436 nsew signal input -flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115] -port 437 nsew signal input -flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116] -port 438 nsew signal input -flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117] -port 439 nsew signal input -flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118] -port 440 nsew signal input -flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119] -port 441 nsew signal input -flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11] -port 442 nsew signal input -flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120] -port 443 nsew signal input -flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121] -port 444 nsew signal input -flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122] -port 445 nsew signal input -flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123] -port 446 nsew signal input -flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124] -port 447 nsew signal input -flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125] -port 448 nsew signal input -flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126] -port 449 nsew signal input -flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127] -port 450 nsew signal input -flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12] -port 451 nsew signal input -flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13] -port 452 nsew signal input -flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14] -port 453 nsew signal input -flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15] -port 454 nsew signal input -flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16] -port 455 nsew signal input -flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17] -port 456 nsew signal input -flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18] -port 457 nsew signal input -flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19] -port 458 nsew signal input -flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1] -port 459 nsew signal input -flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20] -port 460 nsew signal input -flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21] -port 461 nsew signal input -flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22] -port 462 nsew signal input -flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23] -port 463 nsew signal input -flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24] -port 464 nsew signal input -flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25] -port 465 nsew signal input -flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26] -port 466 nsew signal input -flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27] -port 467 nsew signal input -flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28] -port 468 nsew signal input -flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29] -port 469 nsew signal input -flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2] -port 470 nsew signal input -flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30] -port 471 nsew signal input -flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31] -port 472 nsew signal input -flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32] -port 473 nsew signal input -flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33] -port 474 nsew signal input -flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34] -port 475 nsew signal input -flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35] -port 476 nsew signal input -flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36] -port 477 nsew signal input -flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37] -port 478 nsew signal input -flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38] -port 479 nsew signal input -flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39] -port 480 nsew signal input -flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3] -port 481 nsew signal input -flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40] -port 482 nsew signal input -flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41] -port 483 nsew signal input -flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42] -port 484 nsew signal input -flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43] -port 485 nsew signal input -flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44] -port 486 nsew signal input -flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45] -port 487 nsew signal input -flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46] -port 488 nsew signal input -flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47] -port 489 nsew signal input -flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48] -port 490 nsew signal input -flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49] -port 491 nsew signal input -flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4] -port 492 nsew signal input -flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50] -port 493 nsew signal input -flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51] -port 494 nsew signal input -flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52] -port 495 nsew signal input -flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53] -port 496 nsew signal input -flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54] -port 497 nsew signal input -flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55] -port 498 nsew signal input -flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56] -port 499 nsew signal input -flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57] -port 500 nsew signal input -flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58] -port 501 nsew signal input -flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59] -port 502 nsew signal input -flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5] -port 503 nsew signal input -flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60] -port 504 nsew signal input -flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61] -port 505 nsew signal input -flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62] -port 506 nsew signal input -flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63] -port 507 nsew signal input -flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64] -port 508 nsew signal input -flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65] -port 509 nsew signal input -flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66] -port 510 nsew signal input -flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67] -port 511 nsew signal input -flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68] -port 512 nsew signal input -flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69] -port 513 nsew signal input -flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6] -port 514 nsew signal input -flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70] -port 515 nsew signal input -flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71] -port 516 nsew signal input -flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72] -port 517 nsew signal input -flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73] -port 518 nsew signal input -flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74] -port 519 nsew signal input -flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75] -port 520 nsew signal input -flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76] -port 521 nsew signal input -flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77] -port 522 nsew signal input -flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78] -port 523 nsew signal input -flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79] -port 524 nsew signal input -flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7] -port 525 nsew signal input -flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80] -port 526 nsew signal input -flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81] -port 527 nsew signal input -flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82] -port 528 nsew signal input -flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83] -port 529 nsew signal input -flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84] -port 530 nsew signal input -flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85] -port 531 nsew signal input -flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86] -port 532 nsew signal input -flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87] -port 533 nsew signal input -flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88] -port 534 nsew signal input -flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89] -port 535 nsew signal input -flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8] -port 536 nsew signal input -flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90] -port 537 nsew signal input -flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91] -port 538 nsew signal input -flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92] -port 539 nsew signal input -flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93] -port 540 nsew signal input -flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94] -port 541 nsew signal input -flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95] -port 542 nsew signal input -flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96] -port 543 nsew signal input -flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97] -port 544 nsew signal input -flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98] -port 545 nsew signal input -flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99] -port 546 nsew signal input -flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9] -port 547 nsew signal input -flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2 -port 548 nsew signal input -flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0] -port 549 nsew signal tristate -flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1] -port 550 nsew signal tristate -flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2] -port 551 nsew signal tristate -flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1 -port 552 nsew signal bidirectional -flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1 -port 553 nsew signal bidirectional -flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2 -port 554 nsew signal bidirectional -flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2 -port 555 nsew signal bidirectional -flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1 -port 556 nsew signal bidirectional -flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1 -port 557 nsew signal bidirectional -flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1 -port 558 nsew signal bidirectional -flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1 -port 559 nsew signal bidirectional -flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2 -port 560 nsew signal bidirectional -flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2 -port 561 nsew signal bidirectional -flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1 -port 562 nsew signal bidirectional -flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1 -port 563 nsew signal bidirectional -flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1 -port 564 nsew signal bidirectional -flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1 -port 565 nsew signal bidirectional -flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2 -port 566 nsew signal bidirectional -flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2 -port 567 nsew signal bidirectional -flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1 -port 568 nsew signal bidirectional -flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1 -port 569 nsew signal bidirectional -flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2 -port 570 nsew signal bidirectional -flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2 -port 571 nsew signal bidirectional -flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i -port 572 nsew signal input -flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i -port 573 nsew signal input -flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o -port 574 nsew signal tristate -flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0] -port 575 nsew signal input -flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10] -port 576 nsew signal input -flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11] -port 577 nsew signal input -flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12] -port 578 nsew signal input -flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13] -port 579 nsew signal input -flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14] -port 580 nsew signal input -flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15] -port 581 nsew signal input -flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16] -port 582 nsew signal input -flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17] -port 583 nsew signal input -flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18] -port 584 nsew signal input -flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19] -port 585 nsew signal input -flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1] -port 586 nsew signal input -flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20] -port 587 nsew signal input -flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21] -port 588 nsew signal input -flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22] -port 589 nsew signal input -flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23] -port 590 nsew signal input -flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24] -port 591 nsew signal input -flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25] -port 592 nsew signal input -flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26] -port 593 nsew signal input -flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27] -port 594 nsew signal input -flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28] -port 595 nsew signal input -flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29] -port 596 nsew signal input -flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2] -port 597 nsew signal input -flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30] -port 598 nsew signal input -flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31] -port 599 nsew signal input -flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3] -port 600 nsew signal input -flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4] -port 601 nsew signal input -flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5] -port 602 nsew signal input -flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6] -port 603 nsew signal input -flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7] -port 604 nsew signal input -flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8] -port 605 nsew signal input -flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9] -port 606 nsew signal input -flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i -port 607 nsew signal input -flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0] -port 608 nsew signal input -flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10] -port 609 nsew signal input -flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11] -port 610 nsew signal input -flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12] -port 611 nsew signal input -flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13] -port 612 nsew signal input -flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14] -port 613 nsew signal input -flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15] -port 614 nsew signal input -flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16] -port 615 nsew signal input -flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17] -port 616 nsew signal input -flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18] -port 617 nsew signal input -flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19] -port 618 nsew signal input -flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1] -port 619 nsew signal input -flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20] -port 620 nsew signal input -flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21] -port 621 nsew signal input -flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22] -port 622 nsew signal input -flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23] -port 623 nsew signal input -flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24] -port 624 nsew signal input -flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25] -port 625 nsew signal input -flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26] -port 626 nsew signal input -flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27] -port 627 nsew signal input -flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28] -port 628 nsew signal input -flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29] -port 629 nsew signal input -flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2] -port 630 nsew signal input -flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30] -port 631 nsew signal input -flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31] -port 632 nsew signal input -flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3] -port 633 nsew signal input -flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4] -port 634 nsew signal input -flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5] -port 635 nsew signal input -flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6] -port 636 nsew signal input -flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7] -port 637 nsew signal input -flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8] -port 638 nsew signal input -flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9] -port 639 nsew signal input -flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0] -port 640 nsew signal tristate -flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10] -port 641 nsew signal tristate -flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11] -port 642 nsew signal tristate -flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12] -port 643 nsew signal tristate -flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13] -port 644 nsew signal tristate -flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14] -port 645 nsew signal tristate -flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15] -port 646 nsew signal tristate -flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16] -port 647 nsew signal tristate -flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17] -port 648 nsew signal tristate -flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18] -port 649 nsew signal tristate -flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19] -port 650 nsew signal tristate -flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1] -port 651 nsew signal tristate -flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20] -port 652 nsew signal tristate -flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21] -port 653 nsew signal tristate -flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22] -port 654 nsew signal tristate -flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23] -port 655 nsew signal tristate -flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24] -port 656 nsew signal tristate -flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25] -port 657 nsew signal tristate -flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26] -port 658 nsew signal tristate -flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27] -port 659 nsew signal tristate -flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28] -port 660 nsew signal tristate -flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29] -port 661 nsew signal tristate -flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2] -port 662 nsew signal tristate -flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30] -port 663 nsew signal tristate -flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31] -port 664 nsew signal tristate -flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3] -port 665 nsew signal tristate -flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4] -port 666 nsew signal tristate -flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5] -port 667 nsew signal tristate -flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6] -port 668 nsew signal tristate -flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7] -port 669 nsew signal tristate -flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8] -port 670 nsew signal tristate -flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9] -port 671 nsew signal tristate -flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0] -port 672 nsew signal input -flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1] -port 673 nsew signal input -flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2] -port 674 nsew signal input -flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3] -port 675 nsew signal input -flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i -port 676 nsew signal input -flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i -port 677 nsew signal input -flabel metal3 572152 640142 580220 644150 0 FreeSans 16000 0 0 0 VCCD1 -flabel metal3 567038 550960 577302 554546 0 FreeSans 16000 0 0 0 VDDA1 -flabel metal3 511190 664896 514962 676272 0 FreeSans 16000 90 0 0 VSSA1 -flabel metal3 561703 191929 571721 195859 0 FreeSans 16000 0 0 0 VSSD1 -<< properties >> -string FIXED_BBOX 0 0 584000 704000 -<< end >> diff --git a/mag/user_analog_project_wrapper_empty.mag b/mag/user_analog_project_wrapper_empty.mag deleted file mode 100644 index 0dc5bd5..0000000 --- a/mag/user_analog_project_wrapper_empty.mag +++ /dev/null @@ -1,2091 +0,0 @@ -magic -tech $PDK -timestamp 1632839657 -<< checkpaint >> -rect -680 351370 292680 352680 -rect -680 630 630 351370 -rect 291370 630 292680 351370 -rect -680 -680 292680 630 -<< metal2 >> -rect 262 -400 318 240 -rect 853 -400 909 240 -rect 1444 -400 1500 240 -rect 2035 -400 2091 240 -rect 2626 -400 2682 240 -rect 3217 -400 3273 240 -rect 3808 -400 3864 240 -rect 4399 -400 4455 240 -rect 4990 -400 5046 240 -rect 5581 -400 5637 240 -rect 6172 -400 6228 240 -rect 6763 -400 6819 240 -rect 7354 -400 7410 240 -rect 7945 -400 8001 240 -rect 8536 -400 8592 240 -rect 9127 -400 9183 240 -rect 9718 -400 9774 240 -rect 10309 -400 10365 240 -rect 10900 -400 10956 240 -rect 11491 -400 11547 240 -rect 12082 -400 12138 240 -rect 12673 -400 12729 240 -rect 13264 -400 13320 240 -rect 13855 -400 13911 240 -rect 14446 -400 14502 240 -rect 15037 -400 15093 240 -rect 15628 -400 15684 240 -rect 16219 -400 16275 240 -rect 16810 -400 16866 240 -rect 17401 -400 17457 240 -rect 17992 -400 18048 240 -rect 18583 -400 18639 240 -rect 19174 -400 19230 240 -rect 19765 -400 19821 240 -rect 20356 -400 20412 240 -rect 20947 -400 21003 240 -rect 21538 -400 21594 240 -rect 22129 -400 22185 240 -rect 22720 -400 22776 240 -rect 23311 -400 23367 240 -rect 23902 -400 23958 240 -rect 24493 -400 24549 240 -rect 25084 -400 25140 240 -rect 25675 -400 25731 240 -rect 26266 -400 26322 240 -rect 26857 -400 26913 240 -rect 27448 -400 27504 240 -rect 28039 -400 28095 240 -rect 28630 -400 28686 240 -rect 29221 -400 29277 240 -rect 29812 -400 29868 240 -rect 30403 -400 30459 240 -rect 30994 -400 31050 240 -rect 31585 -400 31641 240 -rect 32176 -400 32232 240 -rect 32767 -400 32823 240 -rect 33358 -400 33414 240 -rect 33949 -400 34005 240 -rect 34540 -400 34596 240 -rect 35131 -400 35187 240 -rect 35722 -400 35778 240 -rect 36313 -400 36369 240 -rect 36904 -400 36960 240 -rect 37495 -400 37551 240 -rect 38086 -400 38142 240 -rect 38677 -400 38733 240 -rect 39268 -400 39324 240 -rect 39859 -400 39915 240 -rect 40450 -400 40506 240 -rect 41041 -400 41097 240 -rect 41632 -400 41688 240 -rect 42223 -400 42279 240 -rect 42814 -400 42870 240 -rect 43405 -400 43461 240 -rect 43996 -400 44052 240 -rect 44587 -400 44643 240 -rect 45178 -400 45234 240 -rect 45769 -400 45825 240 -rect 46360 -400 46416 240 -rect 46951 -400 47007 240 -rect 47542 -400 47598 240 -rect 48133 -400 48189 240 -rect 48724 -400 48780 240 -rect 49315 -400 49371 240 -rect 49906 -400 49962 240 -rect 50497 -400 50553 240 -rect 51088 -400 51144 240 -rect 51679 -400 51735 240 -rect 52270 -400 52326 240 -rect 52861 -400 52917 240 -rect 53452 -400 53508 240 -rect 54043 -400 54099 240 -rect 54634 -400 54690 240 -rect 55225 -400 55281 240 -rect 55816 -400 55872 240 -rect 56407 -400 56463 240 -rect 56998 -400 57054 240 -rect 57589 -400 57645 240 -rect 58180 -400 58236 240 -rect 58771 -400 58827 240 -rect 59362 -400 59418 240 -rect 59953 -400 60009 240 -rect 60544 -400 60600 240 -rect 61135 -400 61191 240 -rect 61726 -400 61782 240 -rect 62317 -400 62373 240 -rect 62908 -400 62964 240 -rect 63499 -400 63555 240 -rect 64090 -400 64146 240 -rect 64681 -400 64737 240 -rect 65272 -400 65328 240 -rect 65863 -400 65919 240 -rect 66454 -400 66510 240 -rect 67045 -400 67101 240 -rect 67636 -400 67692 240 -rect 68227 -400 68283 240 -rect 68818 -400 68874 240 -rect 69409 -400 69465 240 -rect 70000 -400 70056 240 -rect 70591 -400 70647 240 -rect 71182 -400 71238 240 -rect 71773 -400 71829 240 -rect 72364 -400 72420 240 -rect 72955 -400 73011 240 -rect 73546 -400 73602 240 -rect 74137 -400 74193 240 -rect 74728 -400 74784 240 -rect 75319 -400 75375 240 -rect 75910 -400 75966 240 -rect 76501 -400 76557 240 -rect 77092 -400 77148 240 -rect 77683 -400 77739 240 -rect 78274 -400 78330 240 -rect 78865 -400 78921 240 -rect 79456 -400 79512 240 -rect 80047 -400 80103 240 -rect 80638 -400 80694 240 -rect 81229 -400 81285 240 -rect 81820 -400 81876 240 -rect 82411 -400 82467 240 -rect 83002 -400 83058 240 -rect 83593 -400 83649 240 -rect 84184 -400 84240 240 -rect 84775 -400 84831 240 -rect 85366 -400 85422 240 -rect 85957 -400 86013 240 -rect 86548 -400 86604 240 -rect 87139 -400 87195 240 -rect 87730 -400 87786 240 -rect 88321 -400 88377 240 -rect 88912 -400 88968 240 -rect 89503 -400 89559 240 -rect 90094 -400 90150 240 -rect 90685 -400 90741 240 -rect 91276 -400 91332 240 -rect 91867 -400 91923 240 -rect 92458 -400 92514 240 -rect 93049 -400 93105 240 -rect 93640 -400 93696 240 -rect 94231 -400 94287 240 -rect 94822 -400 94878 240 -rect 95413 -400 95469 240 -rect 96004 -400 96060 240 -rect 96595 -400 96651 240 -rect 97186 -400 97242 240 -rect 97777 -400 97833 240 -rect 98368 -400 98424 240 -rect 98959 -400 99015 240 -rect 99550 -400 99606 240 -rect 100141 -400 100197 240 -rect 100732 -400 100788 240 -rect 101323 -400 101379 240 -rect 101914 -400 101970 240 -rect 102505 -400 102561 240 -rect 103096 -400 103152 240 -rect 103687 -400 103743 240 -rect 104278 -400 104334 240 -rect 104869 -400 104925 240 -rect 105460 -400 105516 240 -rect 106051 -400 106107 240 -rect 106642 -400 106698 240 -rect 107233 -400 107289 240 -rect 107824 -400 107880 240 -rect 108415 -400 108471 240 -rect 109006 -400 109062 240 -rect 109597 -400 109653 240 -rect 110188 -400 110244 240 -rect 110779 -400 110835 240 -rect 111370 -400 111426 240 -rect 111961 -400 112017 240 -rect 112552 -400 112608 240 -rect 113143 -400 113199 240 -rect 113734 -400 113790 240 -rect 114325 -400 114381 240 -rect 114916 -400 114972 240 -rect 115507 -400 115563 240 -rect 116098 -400 116154 240 -rect 116689 -400 116745 240 -rect 117280 -400 117336 240 -rect 117871 -400 117927 240 -rect 118462 -400 118518 240 -rect 119053 -400 119109 240 -rect 119644 -400 119700 240 -rect 120235 -400 120291 240 -rect 120826 -400 120882 240 -rect 121417 -400 121473 240 -rect 122008 -400 122064 240 -rect 122599 -400 122655 240 -rect 123190 -400 123246 240 -rect 123781 -400 123837 240 -rect 124372 -400 124428 240 -rect 124963 -400 125019 240 -rect 125554 -400 125610 240 -rect 126145 -400 126201 240 -rect 126736 -400 126792 240 -rect 127327 -400 127383 240 -rect 127918 -400 127974 240 -rect 128509 -400 128565 240 -rect 129100 -400 129156 240 -rect 129691 -400 129747 240 -rect 130282 -400 130338 240 -rect 130873 -400 130929 240 -rect 131464 -400 131520 240 -rect 132055 -400 132111 240 -rect 132646 -400 132702 240 -rect 133237 -400 133293 240 -rect 133828 -400 133884 240 -rect 134419 -400 134475 240 -rect 135010 -400 135066 240 -rect 135601 -400 135657 240 -rect 136192 -400 136248 240 -rect 136783 -400 136839 240 -rect 137374 -400 137430 240 -rect 137965 -400 138021 240 -rect 138556 -400 138612 240 -rect 139147 -400 139203 240 -rect 139738 -400 139794 240 -rect 140329 -400 140385 240 -rect 140920 -400 140976 240 -rect 141511 -400 141567 240 -rect 142102 -400 142158 240 -rect 142693 -400 142749 240 -rect 143284 -400 143340 240 -rect 143875 -400 143931 240 -rect 144466 -400 144522 240 -rect 145057 -400 145113 240 -rect 145648 -400 145704 240 -rect 146239 -400 146295 240 -rect 146830 -400 146886 240 -rect 147421 -400 147477 240 -rect 148012 -400 148068 240 -rect 148603 -400 148659 240 -rect 149194 -400 149250 240 -rect 149785 -400 149841 240 -rect 150376 -400 150432 240 -rect 150967 -400 151023 240 -rect 151558 -400 151614 240 -rect 152149 -400 152205 240 -rect 152740 -400 152796 240 -rect 153331 -400 153387 240 -rect 153922 -400 153978 240 -rect 154513 -400 154569 240 -rect 155104 -400 155160 240 -rect 155695 -400 155751 240 -rect 156286 -400 156342 240 -rect 156877 -400 156933 240 -rect 157468 -400 157524 240 -rect 158059 -400 158115 240 -rect 158650 -400 158706 240 -rect 159241 -400 159297 240 -rect 159832 -400 159888 240 -rect 160423 -400 160479 240 -rect 161014 -400 161070 240 -rect 161605 -400 161661 240 -rect 162196 -400 162252 240 -rect 162787 -400 162843 240 -rect 163378 -400 163434 240 -rect 163969 -400 164025 240 -rect 164560 -400 164616 240 -rect 165151 -400 165207 240 -rect 165742 -400 165798 240 -rect 166333 -400 166389 240 -rect 166924 -400 166980 240 -rect 167515 -400 167571 240 -rect 168106 -400 168162 240 -rect 168697 -400 168753 240 -rect 169288 -400 169344 240 -rect 169879 -400 169935 240 -rect 170470 -400 170526 240 -rect 171061 -400 171117 240 -rect 171652 -400 171708 240 -rect 172243 -400 172299 240 -rect 172834 -400 172890 240 -rect 173425 -400 173481 240 -rect 174016 -400 174072 240 -rect 174607 -400 174663 240 -rect 175198 -400 175254 240 -rect 175789 -400 175845 240 -rect 176380 -400 176436 240 -rect 176971 -400 177027 240 -rect 177562 -400 177618 240 -rect 178153 -400 178209 240 -rect 178744 -400 178800 240 -rect 179335 -400 179391 240 -rect 179926 -400 179982 240 -rect 180517 -400 180573 240 -rect 181108 -400 181164 240 -rect 181699 -400 181755 240 -rect 182290 -400 182346 240 -rect 182881 -400 182937 240 -rect 183472 -400 183528 240 -rect 184063 -400 184119 240 -rect 184654 -400 184710 240 -rect 185245 -400 185301 240 -rect 185836 -400 185892 240 -rect 186427 -400 186483 240 -rect 187018 -400 187074 240 -rect 187609 -400 187665 240 -rect 188200 -400 188256 240 -rect 188791 -400 188847 240 -rect 189382 -400 189438 240 -rect 189973 -400 190029 240 -rect 190564 -400 190620 240 -rect 191155 -400 191211 240 -rect 191746 -400 191802 240 -rect 192337 -400 192393 240 -rect 192928 -400 192984 240 -rect 193519 -400 193575 240 -rect 194110 -400 194166 240 -rect 194701 -400 194757 240 -rect 195292 -400 195348 240 -rect 195883 -400 195939 240 -rect 196474 -400 196530 240 -rect 197065 -400 197121 240 -rect 197656 -400 197712 240 -rect 198247 -400 198303 240 -rect 198838 -400 198894 240 -rect 199429 -400 199485 240 -rect 200020 -400 200076 240 -rect 200611 -400 200667 240 -rect 201202 -400 201258 240 -rect 201793 -400 201849 240 -rect 202384 -400 202440 240 -rect 202975 -400 203031 240 -rect 203566 -400 203622 240 -rect 204157 -400 204213 240 -rect 204748 -400 204804 240 -rect 205339 -400 205395 240 -rect 205930 -400 205986 240 -rect 206521 -400 206577 240 -rect 207112 -400 207168 240 -rect 207703 -400 207759 240 -rect 208294 -400 208350 240 -rect 208885 -400 208941 240 -rect 209476 -400 209532 240 -rect 210067 -400 210123 240 -rect 210658 -400 210714 240 -rect 211249 -400 211305 240 -rect 211840 -400 211896 240 -rect 212431 -400 212487 240 -rect 213022 -400 213078 240 -rect 213613 -400 213669 240 -rect 214204 -400 214260 240 -rect 214795 -400 214851 240 -rect 215386 -400 215442 240 -rect 215977 -400 216033 240 -rect 216568 -400 216624 240 -rect 217159 -400 217215 240 -rect 217750 -400 217806 240 -rect 218341 -400 218397 240 -rect 218932 -400 218988 240 -rect 219523 -400 219579 240 -rect 220114 -400 220170 240 -rect 220705 -400 220761 240 -rect 221296 -400 221352 240 -rect 221887 -400 221943 240 -rect 222478 -400 222534 240 -rect 223069 -400 223125 240 -rect 223660 -400 223716 240 -rect 224251 -400 224307 240 -rect 224842 -400 224898 240 -rect 225433 -400 225489 240 -rect 226024 -400 226080 240 -rect 226615 -400 226671 240 -rect 227206 -400 227262 240 -rect 227797 -400 227853 240 -rect 228388 -400 228444 240 -rect 228979 -400 229035 240 -rect 229570 -400 229626 240 -rect 230161 -400 230217 240 -rect 230752 -400 230808 240 -rect 231343 -400 231399 240 -rect 231934 -400 231990 240 -rect 232525 -400 232581 240 -rect 233116 -400 233172 240 -rect 233707 -400 233763 240 -rect 234298 -400 234354 240 -rect 234889 -400 234945 240 -rect 235480 -400 235536 240 -rect 236071 -400 236127 240 -rect 236662 -400 236718 240 -rect 237253 -400 237309 240 -rect 237844 -400 237900 240 -rect 238435 -400 238491 240 -rect 239026 -400 239082 240 -rect 239617 -400 239673 240 -rect 240208 -400 240264 240 -rect 240799 -400 240855 240 -rect 241390 -400 241446 240 -rect 241981 -400 242037 240 -rect 242572 -400 242628 240 -rect 243163 -400 243219 240 -rect 243754 -400 243810 240 -rect 244345 -400 244401 240 -rect 244936 -400 244992 240 -rect 245527 -400 245583 240 -rect 246118 -400 246174 240 -rect 246709 -400 246765 240 -rect 247300 -400 247356 240 -rect 247891 -400 247947 240 -rect 248482 -400 248538 240 -rect 249073 -400 249129 240 -rect 249664 -400 249720 240 -rect 250255 -400 250311 240 -rect 250846 -400 250902 240 -rect 251437 -400 251493 240 -rect 252028 -400 252084 240 -rect 252619 -400 252675 240 -rect 253210 -400 253266 240 -rect 253801 -400 253857 240 -rect 254392 -400 254448 240 -rect 254983 -400 255039 240 -rect 255574 -400 255630 240 -rect 256165 -400 256221 240 -rect 256756 -400 256812 240 -rect 257347 -400 257403 240 -rect 257938 -400 257994 240 -rect 258529 -400 258585 240 -rect 259120 -400 259176 240 -rect 259711 -400 259767 240 -rect 260302 -400 260358 240 -rect 260893 -400 260949 240 -rect 261484 -400 261540 240 -rect 262075 -400 262131 240 -rect 262666 -400 262722 240 -rect 263257 -400 263313 240 -rect 263848 -400 263904 240 -rect 264439 -400 264495 240 -rect 265030 -400 265086 240 -rect 265621 -400 265677 240 -rect 266212 -400 266268 240 -rect 266803 -400 266859 240 -rect 267394 -400 267450 240 -rect 267985 -400 268041 240 -rect 268576 -400 268632 240 -rect 269167 -400 269223 240 -rect 269758 -400 269814 240 -rect 270349 -400 270405 240 -rect 270940 -400 270996 240 -rect 271531 -400 271587 240 -rect 272122 -400 272178 240 -rect 272713 -400 272769 240 -rect 273304 -400 273360 240 -rect 273895 -400 273951 240 -rect 274486 -400 274542 240 -rect 275077 -400 275133 240 -rect 275668 -400 275724 240 -rect 276259 -400 276315 240 -rect 276850 -400 276906 240 -rect 277441 -400 277497 240 -rect 278032 -400 278088 240 -rect 278623 -400 278679 240 -rect 279214 -400 279270 240 -rect 279805 -400 279861 240 -rect 280396 -400 280452 240 -rect 280987 -400 281043 240 -rect 281578 -400 281634 240 -rect 282169 -400 282225 240 -rect 282760 -400 282816 240 -rect 283351 -400 283407 240 -rect 283942 -400 283998 240 -rect 284533 -400 284589 240 -rect 285124 -400 285180 240 -rect 285715 -400 285771 240 -rect 286306 -400 286362 240 -rect 286897 -400 286953 240 -rect 287488 -400 287544 240 -rect 288079 -400 288135 240 -rect 288670 -400 288726 240 -rect 289261 -400 289317 240 -rect 289852 -400 289908 240 -rect 290443 -400 290499 240 -rect 291034 -400 291090 240 -rect 291625 -400 291681 240 -<< metal3 >> -rect 8097 351150 10597 352400 -rect 34097 351150 36597 352400 -rect 60097 351150 62597 352400 -rect 82797 351150 85297 352400 -rect 85447 351150 86547 352400 -rect 86697 351150 87797 352400 -rect 87947 351150 90447 352400 -rect 108647 351150 111147 352400 -rect 111297 351150 112397 352400 -rect 112547 351150 113647 352400 -rect 113797 351150 116297 352400 -rect 159497 351150 161997 352400 -rect 162147 351150 163247 352400 -rect 163397 351150 164497 352400 -rect 164647 351150 167147 352400 -rect 206697 351150 209197 352400 -rect 232697 351150 235197 352400 -rect 255297 351170 257697 352400 -rect 260297 351170 262697 352400 -rect 283297 351150 285797 352400 -rect -400 340121 850 342621 -rect 291150 338992 292400 341492 -rect -400 321921 830 324321 -rect 291170 319892 292400 322292 -rect -400 316921 830 319321 -rect 291170 314892 292400 317292 -rect 291760 294736 292400 294792 -rect 291760 294145 292400 294201 -rect 291760 293554 292400 293610 -rect 291760 292963 292400 293019 -rect 291760 292372 292400 292428 -rect 291760 291781 292400 291837 -rect -400 279721 830 282121 -rect -400 274721 830 277121 -rect 291170 275281 292400 277681 -rect 291170 270281 292400 272681 -rect -400 255765 240 255821 -rect -400 255174 240 255230 -rect -400 254583 240 254639 -rect -400 253992 240 254048 -rect -400 253401 240 253457 -rect -400 252810 240 252866 -rect 291760 250025 292400 250081 -rect 291760 249434 292400 249490 -rect 291760 248843 292400 248899 -rect 291760 248252 292400 248308 -rect 291760 247661 292400 247717 -rect 291760 247070 292400 247126 -rect -400 234154 240 234210 -rect -400 233563 240 233619 -rect -400 232972 240 233028 -rect -400 232381 240 232437 -rect -400 231790 240 231846 -rect -400 231199 240 231255 -rect 291760 227814 292400 227870 -rect 291760 227223 292400 227279 -rect 291760 226632 292400 226688 -rect 291760 226041 292400 226097 -rect 291760 225450 292400 225506 -rect 291760 224859 292400 224915 -rect -400 212543 240 212599 -rect -400 211952 240 212008 -rect -400 211361 240 211417 -rect -400 210770 240 210826 -rect -400 210179 240 210235 -rect -400 209588 240 209644 -rect 291760 205603 292400 205659 -rect 291760 205012 292400 205068 -rect 291760 204421 292400 204477 -rect 291760 203830 292400 203886 -rect 291760 203239 292400 203295 -rect 291760 202648 292400 202704 -rect -400 190932 240 190988 -rect -400 190341 240 190397 -rect -400 189750 240 189806 -rect -400 189159 240 189215 -rect -400 188568 240 188624 -rect -400 187977 240 188033 -rect 291760 182392 292400 182448 -rect 291760 181801 292400 181857 -rect 291760 181210 292400 181266 -rect 291760 180619 292400 180675 -rect 291760 180028 292400 180084 -rect 291760 179437 292400 179493 -rect -400 169321 240 169377 -rect -400 168730 240 168786 -rect -400 168139 240 168195 -rect -400 167548 240 167604 -rect -400 166957 240 167013 -rect -400 166366 240 166422 -rect 291760 159781 292400 159837 -rect 291760 159190 292400 159246 -rect 291760 158599 292400 158655 -rect 291760 158008 292400 158064 -rect 291760 157417 292400 157473 -rect 291760 156826 292400 156882 -rect -400 147710 240 147766 -rect -400 147119 240 147175 -rect -400 146528 240 146584 -rect -400 145937 240 145993 -rect -400 145346 240 145402 -rect -400 144755 240 144811 -rect 291760 137570 292400 137626 -rect 291760 136979 292400 137035 -rect 291760 136388 292400 136444 -rect 291760 135797 292400 135853 -rect 291760 135206 292400 135262 -rect 291760 134615 292400 134671 -rect -400 126199 240 126255 -rect -400 125608 240 125664 -rect -400 125017 240 125073 -rect -400 124426 240 124482 -rect -400 123835 240 123891 -rect -400 123244 240 123300 -rect 291170 117615 292400 120015 -rect 291170 112615 292400 115015 -rect -400 107444 830 109844 -rect -400 102444 830 104844 -rect 291170 95715 292400 98115 -rect 291170 90715 292400 93115 -rect -400 86444 830 88844 -rect -400 81444 830 83844 -rect 291170 73415 292400 75815 -rect 291170 68415 292400 70815 -rect -400 62388 240 62444 -rect -400 61797 240 61853 -rect -400 61206 240 61262 -rect -400 60615 240 60671 -rect -400 60024 240 60080 -rect -400 59433 240 59489 -rect 291760 47559 292400 47615 -rect 291760 46968 292400 47024 -rect 291760 46377 292400 46433 -rect 291760 45786 292400 45842 -rect -400 40777 240 40833 -rect -400 40186 240 40242 -rect -400 39595 240 39651 -rect -400 39004 240 39060 -rect -400 38413 240 38469 -rect -400 37822 240 37878 -rect 291760 25230 292400 25286 -rect 291760 24639 292400 24695 -rect 291760 24048 292400 24104 -rect 291760 23457 292400 23513 -rect -400 19166 240 19222 -rect -400 18575 240 18631 -rect -400 17984 240 18040 -rect -400 17393 240 17449 -rect -400 16802 240 16858 -rect -400 16211 240 16267 -rect 291760 12001 292400 12057 -rect 291760 11410 292400 11466 -rect 291760 10819 292400 10875 -rect 291760 10228 292400 10284 -rect 291760 9637 292400 9693 -rect 291760 9046 292400 9102 -rect -400 8455 240 8511 -rect 291760 8455 292400 8511 -rect -400 7864 240 7920 -rect 291760 7864 292400 7920 -rect -400 7273 240 7329 -rect 291760 7273 292400 7329 -rect -400 6682 240 6738 -rect 291760 6682 292400 6738 -rect -400 6091 240 6147 -rect 291760 6091 292400 6147 -rect -400 5500 240 5556 -rect 291760 5500 292400 5556 -rect -400 4909 240 4965 -rect 291760 4909 292400 4965 -rect -400 4318 240 4374 -rect 291760 4318 292400 4374 -rect -400 3727 240 3783 -rect 291760 3727 292400 3783 -rect -400 3136 240 3192 -rect 291760 3136 292400 3192 -rect -400 2545 240 2601 -rect 291760 2545 292400 2601 -rect -400 1954 240 2010 -rect 291760 1954 292400 2010 -rect -400 1363 240 1419 -rect 291760 1363 292400 1419 -rect -400 772 240 828 -rect 291760 772 292400 828 -<< metal4 >> -rect 82797 351150 85297 352400 -rect 87947 351150 90447 352400 -rect 108647 351150 111147 352400 -rect 113797 351150 116297 352400 -rect 159497 351150 161997 352400 -rect 164647 351150 167147 352400 -<< metal5 >> -rect 82797 351150 85297 352400 -rect 87947 351150 90447 352400 -rect 108647 351150 111147 352400 -rect 113797 351150 116297 352400 -rect 159497 351150 161997 352400 -rect 164647 351150 167147 352400 -<< comment >> -rect -50 352000 292050 352050 -rect -50 0 0 352000 -rect 292000 0 292050 352000 -rect -50 -50 292050 0 -<< labels >> -flabel metal3 s 291760 134615 292400 134671 0 FreeSans 560 0 0 0 gpio_analog[0] -port 0 nsew signal bidirectional -flabel metal3 s -400 190932 240 190988 0 FreeSans 560 0 0 0 gpio_analog[10] -port 1 nsew signal bidirectional -flabel metal3 s -400 169321 240 169377 0 FreeSans 560 0 0 0 gpio_analog[11] -port 2 nsew signal bidirectional -flabel metal3 s -400 147710 240 147766 0 FreeSans 560 0 0 0 gpio_analog[12] -port 3 nsew signal bidirectional -flabel metal3 s -400 126199 240 126255 0 FreeSans 560 0 0 0 gpio_analog[13] -port 4 nsew signal bidirectional -flabel metal3 s -400 62388 240 62444 0 FreeSans 560 0 0 0 gpio_analog[14] -port 5 nsew signal bidirectional -flabel metal3 s -400 40777 240 40833 0 FreeSans 560 0 0 0 gpio_analog[15] -port 6 nsew signal bidirectional -flabel metal3 s -400 19166 240 19222 0 FreeSans 560 0 0 0 gpio_analog[16] -port 7 nsew signal bidirectional -flabel metal3 s -400 8455 240 8511 0 FreeSans 560 0 0 0 gpio_analog[17] -port 8 nsew signal bidirectional -flabel metal3 s 291760 156826 292400 156882 0 FreeSans 560 0 0 0 gpio_analog[1] -port 9 nsew signal bidirectional -flabel metal3 s 291760 179437 292400 179493 0 FreeSans 560 0 0 0 gpio_analog[2] -port 10 nsew signal bidirectional -flabel metal3 s 291760 202648 292400 202704 0 FreeSans 560 0 0 0 gpio_analog[3] -port 11 nsew signal bidirectional -flabel metal3 s 291760 224859 292400 224915 0 FreeSans 560 0 0 0 gpio_analog[4] -port 12 nsew signal bidirectional -flabel metal3 s 291760 247070 292400 247126 0 FreeSans 560 0 0 0 gpio_analog[5] -port 13 nsew signal bidirectional -flabel metal3 s 291760 291781 292400 291837 0 FreeSans 560 0 0 0 gpio_analog[6] -port 14 nsew signal bidirectional -flabel metal3 s -400 255765 240 255821 0 FreeSans 560 0 0 0 gpio_analog[7] -port 15 nsew signal bidirectional -flabel metal3 s -400 234154 240 234210 0 FreeSans 560 0 0 0 gpio_analog[8] -port 16 nsew signal bidirectional -flabel metal3 s -400 212543 240 212599 0 FreeSans 560 0 0 0 gpio_analog[9] -port 17 nsew signal bidirectional -flabel metal3 s 291760 135206 292400 135262 0 FreeSans 560 0 0 0 gpio_noesd[0] -port 18 nsew signal bidirectional -flabel metal3 s -400 190341 240 190397 0 FreeSans 560 0 0 0 gpio_noesd[10] -port 19 nsew signal bidirectional -flabel metal3 s -400 168730 240 168786 0 FreeSans 560 0 0 0 gpio_noesd[11] -port 20 nsew signal bidirectional -flabel metal3 s -400 147119 240 147175 0 FreeSans 560 0 0 0 gpio_noesd[12] -port 21 nsew signal bidirectional -flabel metal3 s -400 125608 240 125664 0 FreeSans 560 0 0 0 gpio_noesd[13] -port 22 nsew signal bidirectional -flabel metal3 s -400 61797 240 61853 0 FreeSans 560 0 0 0 gpio_noesd[14] -port 23 nsew signal bidirectional -flabel metal3 s -400 40186 240 40242 0 FreeSans 560 0 0 0 gpio_noesd[15] -port 24 nsew signal bidirectional -flabel metal3 s -400 18575 240 18631 0 FreeSans 560 0 0 0 gpio_noesd[16] -port 25 nsew signal bidirectional -flabel metal3 s -400 7864 240 7920 0 FreeSans 560 0 0 0 gpio_noesd[17] -port 26 nsew signal bidirectional -flabel metal3 s 291760 157417 292400 157473 0 FreeSans 560 0 0 0 gpio_noesd[1] -port 27 nsew signal bidirectional -flabel metal3 s 291760 180028 292400 180084 0 FreeSans 560 0 0 0 gpio_noesd[2] -port 28 nsew signal bidirectional -flabel metal3 s 291760 203239 292400 203295 0 FreeSans 560 0 0 0 gpio_noesd[3] -port 29 nsew signal bidirectional -flabel metal3 s 291760 225450 292400 225506 0 FreeSans 560 0 0 0 gpio_noesd[4] -port 30 nsew signal bidirectional -flabel metal3 s 291760 247661 292400 247717 0 FreeSans 560 0 0 0 gpio_noesd[5] -port 31 nsew signal bidirectional -flabel metal3 s 291760 292372 292400 292428 0 FreeSans 560 0 0 0 gpio_noesd[6] -port 32 nsew signal bidirectional -flabel metal3 s -400 255174 240 255230 0 FreeSans 560 0 0 0 gpio_noesd[7] -port 33 nsew signal bidirectional -flabel metal3 s -400 233563 240 233619 0 FreeSans 560 0 0 0 gpio_noesd[8] -port 34 nsew signal bidirectional -flabel metal3 s -400 211952 240 212008 0 FreeSans 560 0 0 0 gpio_noesd[9] -port 35 nsew signal bidirectional -flabel metal3 s 291150 338992 292400 341492 0 FreeSans 560 0 0 0 io_analog[0] -port 36 nsew signal bidirectional -flabel metal3 s 0 340121 850 342621 0 FreeSans 560 0 0 0 io_analog[10] -port 37 nsew signal bidirectional -flabel metal3 s 283297 351150 285797 352400 0 FreeSans 960 180 0 0 io_analog[1] -port 38 nsew signal bidirectional -flabel metal3 s 232697 351150 235197 352400 0 FreeSans 960 180 0 0 io_analog[2] -port 39 nsew signal bidirectional -flabel metal3 s 206697 351150 209197 352400 0 FreeSans 960 180 0 0 io_analog[3] -port 40 nsew signal bidirectional -flabel metal3 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal4 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal5 s 164647 351150 167147 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 41 nsew signal bidirectional -flabel metal3 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal4 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal5 s 113797 351150 116297 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 42 nsew signal bidirectional -flabel metal3 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal4 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal5 s 87947 351150 90447 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 43 nsew signal bidirectional -flabel metal3 s 60097 351150 62597 352400 0 FreeSans 960 180 0 0 io_analog[7] -port 44 nsew signal bidirectional -flabel metal3 s 34097 351150 36597 352400 0 FreeSans 960 180 0 0 io_analog[8] -port 45 nsew signal bidirectional -flabel metal3 s 8097 351150 10597 352400 0 FreeSans 960 180 0 0 io_analog[9] -port 46 nsew signal bidirectional -flabel metal3 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal4 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal5 s 159497 351150 161997 352400 0 FreeSans 960 180 0 0 io_analog[4] -port 47 nsew signal bidirectional -flabel metal3 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal4 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal5 s 108647 351150 111147 352400 0 FreeSans 960 180 0 0 io_analog[5] -port 48 nsew signal bidirectional -flabel metal3 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal4 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal5 s 82797 351150 85297 352400 0 FreeSans 960 180 0 0 io_analog[6] -port 49 nsew signal bidirectional -flabel metal3 s 163397 351150 164497 352400 0 FreeSans 960 180 0 0 io_clamp_high[0] -port 50 nsew signal bidirectional -flabel metal3 s 112547 351150 113647 352400 0 FreeSans 960 180 0 0 io_clamp_high[1] -port 51 nsew signal bidirectional -flabel metal3 s 86697 351150 87797 352400 0 FreeSans 960 180 0 0 io_clamp_high[2] -port 52 nsew signal bidirectional -flabel metal3 s 162147 351150 163247 352400 0 FreeSans 960 180 0 0 io_clamp_low[0] -port 53 nsew signal bidirectional -flabel metal3 s 111297 351150 112397 352400 0 FreeSans 960 180 0 0 io_clamp_low[1] -port 54 nsew signal bidirectional -flabel metal3 s 85447 351150 86547 352400 0 FreeSans 960 180 0 0 io_clamp_low[2] -port 55 nsew signal bidirectional -flabel metal3 s 291760 1363 292400 1419 0 FreeSans 560 0 0 0 io_in[0] -port 56 nsew signal input -flabel metal3 s 291760 204421 292400 204477 0 FreeSans 560 0 0 0 io_in[10] -port 57 nsew signal input -flabel metal3 s 291760 226632 292400 226688 0 FreeSans 560 0 0 0 io_in[11] -port 58 nsew signal input -flabel metal3 s 291760 248843 292400 248899 0 FreeSans 560 0 0 0 io_in[12] -port 59 nsew signal input -flabel metal3 s 291760 293554 292400 293610 0 FreeSans 560 0 0 0 io_in[13] -port 60 nsew signal input -flabel metal3 s -400 253992 240 254048 0 FreeSans 560 0 0 0 io_in[14] -port 61 nsew signal input -flabel metal3 s -400 232381 240 232437 0 FreeSans 560 0 0 0 io_in[15] -port 62 nsew signal input -flabel metal3 s -400 210770 240 210826 0 FreeSans 560 0 0 0 io_in[16] -port 63 nsew signal input -flabel metal3 s -400 189159 240 189215 0 FreeSans 560 0 0 0 io_in[17] -port 64 nsew signal input -flabel metal3 s -400 167548 240 167604 0 FreeSans 560 0 0 0 io_in[18] -port 65 nsew signal input -flabel metal3 s -400 145937 240 145993 0 FreeSans 560 0 0 0 io_in[19] -port 66 nsew signal input -flabel metal3 s 291760 3727 292400 3783 0 FreeSans 560 0 0 0 io_in[1] -port 67 nsew signal input -flabel metal3 s -400 124426 240 124482 0 FreeSans 560 0 0 0 io_in[20] -port 68 nsew signal input -flabel metal3 s -400 60615 240 60671 0 FreeSans 560 0 0 0 io_in[21] -port 69 nsew signal input -flabel metal3 s -400 39004 240 39060 0 FreeSans 560 0 0 0 io_in[22] -port 70 nsew signal input -flabel metal3 s -400 17393 240 17449 0 FreeSans 560 0 0 0 io_in[23] -port 71 nsew signal input -flabel metal3 s -400 6682 240 6738 0 FreeSans 560 0 0 0 io_in[24] -port 72 nsew signal input -flabel metal3 s -400 4318 240 4374 0 FreeSans 560 0 0 0 io_in[25] -port 73 nsew signal input -flabel metal3 s -400 1954 240 2010 0 FreeSans 560 0 0 0 io_in[26] -port 74 nsew signal input -flabel metal3 s 291760 6091 292400 6147 0 FreeSans 560 0 0 0 io_in[2] -port 75 nsew signal input -flabel metal3 s 291760 8455 292400 8511 0 FreeSans 560 0 0 0 io_in[3] -port 76 nsew signal input -flabel metal3 s 291760 10819 292400 10875 0 FreeSans 560 0 0 0 io_in[4] -port 77 nsew signal input -flabel metal3 s 291760 24048 292400 24104 0 FreeSans 560 0 0 0 io_in[5] -port 78 nsew signal input -flabel metal3 s 291760 46377 292400 46433 0 FreeSans 560 0 0 0 io_in[6] -port 79 nsew signal input -flabel metal3 s 291760 136388 292400 136444 0 FreeSans 560 0 0 0 io_in[7] -port 80 nsew signal input -flabel metal3 s 291760 158599 292400 158655 0 FreeSans 560 0 0 0 io_in[8] -port 81 nsew signal input -flabel metal3 s 291760 181210 292400 181266 0 FreeSans 560 0 0 0 io_in[9] -port 82 nsew signal input -flabel metal3 s 291760 772 292400 828 0 FreeSans 560 0 0 0 io_in_3v3[0] -port 83 nsew signal input -flabel metal3 s 291760 203830 292400 203886 0 FreeSans 560 0 0 0 io_in_3v3[10] -port 84 nsew signal input -flabel metal3 s 291760 226041 292400 226097 0 FreeSans 560 0 0 0 io_in_3v3[11] -port 85 nsew signal input -flabel metal3 s 291760 248252 292400 248308 0 FreeSans 560 0 0 0 io_in_3v3[12] -port 86 nsew signal input -flabel metal3 s 291760 292963 292400 293019 0 FreeSans 560 0 0 0 io_in_3v3[13] -port 87 nsew signal input -flabel metal3 s -400 254583 240 254639 0 FreeSans 560 0 0 0 io_in_3v3[14] -port 88 nsew signal input -flabel metal3 s -400 232972 240 233028 0 FreeSans 560 0 0 0 io_in_3v3[15] -port 89 nsew signal input -flabel metal3 s -400 211361 240 211417 0 FreeSans 560 0 0 0 io_in_3v3[16] -port 90 nsew signal input -flabel metal3 s -400 189750 240 189806 0 FreeSans 560 0 0 0 io_in_3v3[17] -port 91 nsew signal input -flabel metal3 s -400 168139 240 168195 0 FreeSans 560 0 0 0 io_in_3v3[18] -port 92 nsew signal input -flabel metal3 s -400 146528 240 146584 0 FreeSans 560 0 0 0 io_in_3v3[19] -port 93 nsew signal input -flabel metal3 s 291760 3136 292400 3192 0 FreeSans 560 0 0 0 io_in_3v3[1] -port 94 nsew signal input -flabel metal3 s -400 125017 240 125073 0 FreeSans 560 0 0 0 io_in_3v3[20] -port 95 nsew signal input -flabel metal3 s -400 61206 240 61262 0 FreeSans 560 0 0 0 io_in_3v3[21] -port 96 nsew signal input -flabel metal3 s -400 39595 240 39651 0 FreeSans 560 0 0 0 io_in_3v3[22] -port 97 nsew signal input -flabel metal3 s -400 17984 240 18040 0 FreeSans 560 0 0 0 io_in_3v3[23] -port 98 nsew signal input -flabel metal3 s -400 7273 240 7329 0 FreeSans 560 0 0 0 io_in_3v3[24] -port 99 nsew signal input -flabel metal3 s -400 4909 240 4965 0 FreeSans 560 0 0 0 io_in_3v3[25] -port 100 nsew signal input -flabel metal3 s -400 2545 240 2601 0 FreeSans 560 0 0 0 io_in_3v3[26] -port 101 nsew signal input -flabel metal3 s 291760 5500 292400 5556 0 FreeSans 560 0 0 0 io_in_3v3[2] -port 102 nsew signal input -flabel metal3 s 291760 7864 292400 7920 0 FreeSans 560 0 0 0 io_in_3v3[3] -port 103 nsew signal input -flabel metal3 s 291760 10228 292400 10284 0 FreeSans 560 0 0 0 io_in_3v3[4] -port 104 nsew signal input -flabel metal3 s 291760 23457 292400 23513 0 FreeSans 560 0 0 0 io_in_3v3[5] -port 105 nsew signal input -flabel metal3 s 291760 45786 292400 45842 0 FreeSans 560 0 0 0 io_in_3v3[6] -port 106 nsew signal input -flabel metal3 s 291760 135797 292400 135853 0 FreeSans 560 0 0 0 io_in_3v3[7] -port 107 nsew signal input -flabel metal3 s 291760 158008 292400 158064 0 FreeSans 560 0 0 0 io_in_3v3[8] -port 108 nsew signal input -flabel metal3 s 291760 180619 292400 180675 0 FreeSans 560 0 0 0 io_in_3v3[9] -port 109 nsew signal input -flabel metal3 s 291760 2545 292400 2601 0 FreeSans 560 0 0 0 io_oeb[0] -port 110 nsew signal tristate -flabel metal3 s 291760 205603 292400 205659 0 FreeSans 560 0 0 0 io_oeb[10] -port 111 nsew signal tristate -flabel metal3 s 291760 227814 292400 227870 0 FreeSans 560 0 0 0 io_oeb[11] -port 112 nsew signal tristate -flabel metal3 s 291760 250025 292400 250081 0 FreeSans 560 0 0 0 io_oeb[12] -port 113 nsew signal tristate -flabel metal3 s 291760 294736 292400 294792 0 FreeSans 560 0 0 0 io_oeb[13] -port 114 nsew signal tristate -flabel metal3 s -400 252810 240 252866 0 FreeSans 560 0 0 0 io_oeb[14] -port 115 nsew signal tristate -flabel metal3 s -400 231199 240 231255 0 FreeSans 560 0 0 0 io_oeb[15] -port 116 nsew signal tristate -flabel metal3 s -400 209588 240 209644 0 FreeSans 560 0 0 0 io_oeb[16] -port 117 nsew signal tristate -flabel metal3 s -400 187977 240 188033 0 FreeSans 560 0 0 0 io_oeb[17] -port 118 nsew signal tristate -flabel metal3 s -400 166366 240 166422 0 FreeSans 560 0 0 0 io_oeb[18] -port 119 nsew signal tristate -flabel metal3 s -400 144755 240 144811 0 FreeSans 560 0 0 0 io_oeb[19] -port 120 nsew signal tristate -flabel metal3 s 291760 4909 292400 4965 0 FreeSans 560 0 0 0 io_oeb[1] -port 121 nsew signal tristate -flabel metal3 s -400 123244 240 123300 0 FreeSans 560 0 0 0 io_oeb[20] -port 122 nsew signal tristate -flabel metal3 s -400 59433 240 59489 0 FreeSans 560 0 0 0 io_oeb[21] -port 123 nsew signal tristate -flabel metal3 s -400 37822 240 37878 0 FreeSans 560 0 0 0 io_oeb[22] -port 124 nsew signal tristate -flabel metal3 s -400 16211 240 16267 0 FreeSans 560 0 0 0 io_oeb[23] -port 125 nsew signal tristate -flabel metal3 s -400 5500 240 5556 0 FreeSans 560 0 0 0 io_oeb[24] -port 126 nsew signal tristate -flabel metal3 s -400 3136 240 3192 0 FreeSans 560 0 0 0 io_oeb[25] -port 127 nsew signal tristate -flabel metal3 s -400 772 240 828 0 FreeSans 560 0 0 0 io_oeb[26] -port 128 nsew signal tristate -flabel metal3 s 291760 7273 292400 7329 0 FreeSans 560 0 0 0 io_oeb[2] -port 129 nsew signal tristate -flabel metal3 s 291760 9637 292400 9693 0 FreeSans 560 0 0 0 io_oeb[3] -port 130 nsew signal tristate -flabel metal3 s 291760 12001 292400 12057 0 FreeSans 560 0 0 0 io_oeb[4] -port 131 nsew signal tristate -flabel metal3 s 291760 25230 292400 25286 0 FreeSans 560 0 0 0 io_oeb[5] -port 132 nsew signal tristate -flabel metal3 s 291760 47559 292400 47615 0 FreeSans 560 0 0 0 io_oeb[6] -port 133 nsew signal tristate -flabel metal3 s 291760 137570 292400 137626 0 FreeSans 560 0 0 0 io_oeb[7] -port 134 nsew signal tristate -flabel metal3 s 291760 159781 292400 159837 0 FreeSans 560 0 0 0 io_oeb[8] -port 135 nsew signal tristate -flabel metal3 s 291760 182392 292400 182448 0 FreeSans 560 0 0 0 io_oeb[9] -port 136 nsew signal tristate -flabel metal3 s 291760 1954 292400 2010 0 FreeSans 560 0 0 0 io_out[0] -port 137 nsew signal tristate -flabel metal3 s 291760 205012 292400 205068 0 FreeSans 560 0 0 0 io_out[10] -port 138 nsew signal tristate -flabel metal3 s 291760 227223 292400 227279 0 FreeSans 560 0 0 0 io_out[11] -port 139 nsew signal tristate -flabel metal3 s 291760 249434 292400 249490 0 FreeSans 560 0 0 0 io_out[12] -port 140 nsew signal tristate -flabel metal3 s 291760 294145 292400 294201 0 FreeSans 560 0 0 0 io_out[13] -port 141 nsew signal tristate -flabel metal3 s -400 253401 240 253457 0 FreeSans 560 0 0 0 io_out[14] -port 142 nsew signal tristate -flabel metal3 s -400 231790 240 231846 0 FreeSans 560 0 0 0 io_out[15] -port 143 nsew signal tristate -flabel metal3 s -400 210179 240 210235 0 FreeSans 560 0 0 0 io_out[16] -port 144 nsew signal tristate -flabel metal3 s -400 188568 240 188624 0 FreeSans 560 0 0 0 io_out[17] -port 145 nsew signal tristate -flabel metal3 s -400 166957 240 167013 0 FreeSans 560 0 0 0 io_out[18] -port 146 nsew signal tristate -flabel metal3 s -400 145346 240 145402 0 FreeSans 560 0 0 0 io_out[19] -port 147 nsew signal tristate -flabel metal3 s 291760 4318 292400 4374 0 FreeSans 560 0 0 0 io_out[1] -port 148 nsew signal tristate -flabel metal3 s -400 123835 240 123891 0 FreeSans 560 0 0 0 io_out[20] -port 149 nsew signal tristate -flabel metal3 s -400 60024 240 60080 0 FreeSans 560 0 0 0 io_out[21] -port 150 nsew signal tristate -flabel metal3 s -400 38413 240 38469 0 FreeSans 560 0 0 0 io_out[22] -port 151 nsew signal tristate -flabel metal3 s -400 16802 240 16858 0 FreeSans 560 0 0 0 io_out[23] -port 152 nsew signal tristate -flabel metal3 s -400 6091 240 6147 0 FreeSans 560 0 0 0 io_out[24] -port 153 nsew signal tristate -flabel metal3 s -400 3727 240 3783 0 FreeSans 560 0 0 0 io_out[25] -port 154 nsew signal tristate -flabel metal3 s -400 1363 240 1419 0 FreeSans 560 0 0 0 io_out[26] -port 155 nsew signal tristate -flabel metal3 s 291760 6682 292400 6738 0 FreeSans 560 0 0 0 io_out[2] -port 156 nsew signal tristate -flabel metal3 s 291760 9046 292400 9102 0 FreeSans 560 0 0 0 io_out[3] -port 157 nsew signal tristate -flabel metal3 s 291760 11410 292400 11466 0 FreeSans 560 0 0 0 io_out[4] -port 158 nsew signal tristate -flabel metal3 s 291760 24639 292400 24695 0 FreeSans 560 0 0 0 io_out[5] -port 159 nsew signal tristate -flabel metal3 s 291760 46968 292400 47024 0 FreeSans 560 0 0 0 io_out[6] -port 160 nsew signal tristate -flabel metal3 s 291760 136979 292400 137035 0 FreeSans 560 0 0 0 io_out[7] -port 161 nsew signal tristate -flabel metal3 s 291760 159190 292400 159246 0 FreeSans 560 0 0 0 io_out[8] -port 162 nsew signal tristate -flabel metal3 s 291760 181801 292400 181857 0 FreeSans 560 0 0 0 io_out[9] -port 163 nsew signal tristate -flabel metal2 s 62908 -400 62964 240 0 FreeSans 560 90 0 0 la_data_in[0] -port 164 nsew signal input -flabel metal2 s 240208 -400 240264 240 0 FreeSans 560 90 0 0 la_data_in[100] -port 165 nsew signal input -flabel metal2 s 241981 -400 242037 240 0 FreeSans 560 90 0 0 la_data_in[101] -port 166 nsew signal input -flabel metal2 s 243754 -400 243810 240 0 FreeSans 560 90 0 0 la_data_in[102] -port 167 nsew signal input -flabel metal2 s 245527 -400 245583 240 0 FreeSans 560 90 0 0 la_data_in[103] -port 168 nsew signal input -flabel metal2 s 247300 -400 247356 240 0 FreeSans 560 90 0 0 la_data_in[104] -port 169 nsew signal input -flabel metal2 s 249073 -400 249129 240 0 FreeSans 560 90 0 0 la_data_in[105] -port 170 nsew signal input -flabel metal2 s 250846 -400 250902 240 0 FreeSans 560 90 0 0 la_data_in[106] -port 171 nsew signal input -flabel metal2 s 252619 -400 252675 240 0 FreeSans 560 90 0 0 la_data_in[107] -port 172 nsew signal input -flabel metal2 s 254392 -400 254448 240 0 FreeSans 560 90 0 0 la_data_in[108] -port 173 nsew signal input -flabel metal2 s 256165 -400 256221 240 0 FreeSans 560 90 0 0 la_data_in[109] -port 174 nsew signal input -flabel metal2 s 80638 -400 80694 240 0 FreeSans 560 90 0 0 la_data_in[10] -port 175 nsew signal input -flabel metal2 s 257938 -400 257994 240 0 FreeSans 560 90 0 0 la_data_in[110] -port 176 nsew signal input -flabel metal2 s 259711 -400 259767 240 0 FreeSans 560 90 0 0 la_data_in[111] -port 177 nsew signal input -flabel metal2 s 261484 -400 261540 240 0 FreeSans 560 90 0 0 la_data_in[112] -port 178 nsew signal input -flabel metal2 s 263257 -400 263313 240 0 FreeSans 560 90 0 0 la_data_in[113] -port 179 nsew signal input -flabel metal2 s 265030 -400 265086 240 0 FreeSans 560 90 0 0 la_data_in[114] -port 180 nsew signal input -flabel metal2 s 266803 -400 266859 240 0 FreeSans 560 90 0 0 la_data_in[115] -port 181 nsew signal input -flabel metal2 s 268576 -400 268632 240 0 FreeSans 560 90 0 0 la_data_in[116] -port 182 nsew signal input -flabel metal2 s 270349 -400 270405 240 0 FreeSans 560 90 0 0 la_data_in[117] -port 183 nsew signal input -flabel metal2 s 272122 -400 272178 240 0 FreeSans 560 90 0 0 la_data_in[118] -port 184 nsew signal input -flabel metal2 s 273895 -400 273951 240 0 FreeSans 560 90 0 0 la_data_in[119] -port 185 nsew signal input -flabel metal2 s 82411 -400 82467 240 0 FreeSans 560 90 0 0 la_data_in[11] -port 186 nsew signal input -flabel metal2 s 275668 -400 275724 240 0 FreeSans 560 90 0 0 la_data_in[120] -port 187 nsew signal input -flabel metal2 s 277441 -400 277497 240 0 FreeSans 560 90 0 0 la_data_in[121] -port 188 nsew signal input -flabel metal2 s 279214 -400 279270 240 0 FreeSans 560 90 0 0 la_data_in[122] -port 189 nsew signal input -flabel metal2 s 280987 -400 281043 240 0 FreeSans 560 90 0 0 la_data_in[123] -port 190 nsew signal input -flabel metal2 s 282760 -400 282816 240 0 FreeSans 560 90 0 0 la_data_in[124] -port 191 nsew signal input -flabel metal2 s 284533 -400 284589 240 0 FreeSans 560 90 0 0 la_data_in[125] -port 192 nsew signal input -flabel metal2 s 286306 -400 286362 240 0 FreeSans 560 90 0 0 la_data_in[126] -port 193 nsew signal input -flabel metal2 s 288079 -400 288135 240 0 FreeSans 560 90 0 0 la_data_in[127] -port 194 nsew signal input -flabel metal2 s 84184 -400 84240 240 0 FreeSans 560 90 0 0 la_data_in[12] -port 195 nsew signal input -flabel metal2 s 85957 -400 86013 240 0 FreeSans 560 90 0 0 la_data_in[13] -port 196 nsew signal input -flabel metal2 s 87730 -400 87786 240 0 FreeSans 560 90 0 0 la_data_in[14] -port 197 nsew signal input -flabel metal2 s 89503 -400 89559 240 0 FreeSans 560 90 0 0 la_data_in[15] -port 198 nsew signal input -flabel metal2 s 91276 -400 91332 240 0 FreeSans 560 90 0 0 la_data_in[16] -port 199 nsew signal input -flabel metal2 s 93049 -400 93105 240 0 FreeSans 560 90 0 0 la_data_in[17] -port 200 nsew signal input -flabel metal2 s 94822 -400 94878 240 0 FreeSans 560 90 0 0 la_data_in[18] -port 201 nsew signal input -flabel metal2 s 96595 -400 96651 240 0 FreeSans 560 90 0 0 la_data_in[19] -port 202 nsew signal input -flabel metal2 s 64681 -400 64737 240 0 FreeSans 560 90 0 0 la_data_in[1] -port 203 nsew signal input -flabel metal2 s 98368 -400 98424 240 0 FreeSans 560 90 0 0 la_data_in[20] -port 204 nsew signal input -flabel metal2 s 100141 -400 100197 240 0 FreeSans 560 90 0 0 la_data_in[21] -port 205 nsew signal input -flabel metal2 s 101914 -400 101970 240 0 FreeSans 560 90 0 0 la_data_in[22] -port 206 nsew signal input -flabel metal2 s 103687 -400 103743 240 0 FreeSans 560 90 0 0 la_data_in[23] -port 207 nsew signal input -flabel metal2 s 105460 -400 105516 240 0 FreeSans 560 90 0 0 la_data_in[24] -port 208 nsew signal input -flabel metal2 s 107233 -400 107289 240 0 FreeSans 560 90 0 0 la_data_in[25] -port 209 nsew signal input -flabel metal2 s 109006 -400 109062 240 0 FreeSans 560 90 0 0 la_data_in[26] -port 210 nsew signal input -flabel metal2 s 110779 -400 110835 240 0 FreeSans 560 90 0 0 la_data_in[27] -port 211 nsew signal input -flabel metal2 s 112552 -400 112608 240 0 FreeSans 560 90 0 0 la_data_in[28] -port 212 nsew signal input -flabel metal2 s 114325 -400 114381 240 0 FreeSans 560 90 0 0 la_data_in[29] -port 213 nsew signal input -flabel metal2 s 66454 -400 66510 240 0 FreeSans 560 90 0 0 la_data_in[2] -port 214 nsew signal input -flabel metal2 s 116098 -400 116154 240 0 FreeSans 560 90 0 0 la_data_in[30] -port 215 nsew signal input -flabel metal2 s 117871 -400 117927 240 0 FreeSans 560 90 0 0 la_data_in[31] -port 216 nsew signal input -flabel metal2 s 119644 -400 119700 240 0 FreeSans 560 90 0 0 la_data_in[32] -port 217 nsew signal input -flabel metal2 s 121417 -400 121473 240 0 FreeSans 560 90 0 0 la_data_in[33] -port 218 nsew signal input -flabel metal2 s 123190 -400 123246 240 0 FreeSans 560 90 0 0 la_data_in[34] -port 219 nsew signal input -flabel metal2 s 124963 -400 125019 240 0 FreeSans 560 90 0 0 la_data_in[35] -port 220 nsew signal input -flabel metal2 s 126736 -400 126792 240 0 FreeSans 560 90 0 0 la_data_in[36] -port 221 nsew signal input -flabel metal2 s 128509 -400 128565 240 0 FreeSans 560 90 0 0 la_data_in[37] -port 222 nsew signal input -flabel metal2 s 130282 -400 130338 240 0 FreeSans 560 90 0 0 la_data_in[38] -port 223 nsew signal input -flabel metal2 s 132055 -400 132111 240 0 FreeSans 560 90 0 0 la_data_in[39] -port 224 nsew signal input -flabel metal2 s 68227 -400 68283 240 0 FreeSans 560 90 0 0 la_data_in[3] -port 225 nsew signal input -flabel metal2 s 133828 -400 133884 240 0 FreeSans 560 90 0 0 la_data_in[40] -port 226 nsew signal input -flabel metal2 s 135601 -400 135657 240 0 FreeSans 560 90 0 0 la_data_in[41] -port 227 nsew signal input -flabel metal2 s 137374 -400 137430 240 0 FreeSans 560 90 0 0 la_data_in[42] -port 228 nsew signal input -flabel metal2 s 139147 -400 139203 240 0 FreeSans 560 90 0 0 la_data_in[43] -port 229 nsew signal input -flabel metal2 s 140920 -400 140976 240 0 FreeSans 560 90 0 0 la_data_in[44] -port 230 nsew signal input -flabel metal2 s 142693 -400 142749 240 0 FreeSans 560 90 0 0 la_data_in[45] -port 231 nsew signal input -flabel metal2 s 144466 -400 144522 240 0 FreeSans 560 90 0 0 la_data_in[46] -port 232 nsew signal input -flabel metal2 s 146239 -400 146295 240 0 FreeSans 560 90 0 0 la_data_in[47] -port 233 nsew signal input -flabel metal2 s 148012 -400 148068 240 0 FreeSans 560 90 0 0 la_data_in[48] -port 234 nsew signal input -flabel metal2 s 149785 -400 149841 240 0 FreeSans 560 90 0 0 la_data_in[49] -port 235 nsew signal input -flabel metal2 s 70000 -400 70056 240 0 FreeSans 560 90 0 0 la_data_in[4] -port 236 nsew signal input -flabel metal2 s 151558 -400 151614 240 0 FreeSans 560 90 0 0 la_data_in[50] -port 237 nsew signal input -flabel metal2 s 153331 -400 153387 240 0 FreeSans 560 90 0 0 la_data_in[51] -port 238 nsew signal input -flabel metal2 s 155104 -400 155160 240 0 FreeSans 560 90 0 0 la_data_in[52] -port 239 nsew signal input -flabel metal2 s 156877 -400 156933 240 0 FreeSans 560 90 0 0 la_data_in[53] -port 240 nsew signal input -flabel metal2 s 158650 -400 158706 240 0 FreeSans 560 90 0 0 la_data_in[54] -port 241 nsew signal input -flabel metal2 s 160423 -400 160479 240 0 FreeSans 560 90 0 0 la_data_in[55] -port 242 nsew signal input -flabel metal2 s 162196 -400 162252 240 0 FreeSans 560 90 0 0 la_data_in[56] -port 243 nsew signal input -flabel metal2 s 163969 -400 164025 240 0 FreeSans 560 90 0 0 la_data_in[57] -port 244 nsew signal input -flabel metal2 s 165742 -400 165798 240 0 FreeSans 560 90 0 0 la_data_in[58] -port 245 nsew signal input -flabel metal2 s 167515 -400 167571 240 0 FreeSans 560 90 0 0 la_data_in[59] -port 246 nsew signal input -flabel metal2 s 71773 -400 71829 240 0 FreeSans 560 90 0 0 la_data_in[5] -port 247 nsew signal input -flabel metal2 s 169288 -400 169344 240 0 FreeSans 560 90 0 0 la_data_in[60] -port 248 nsew signal input -flabel metal2 s 171061 -400 171117 240 0 FreeSans 560 90 0 0 la_data_in[61] -port 249 nsew signal input -flabel metal2 s 172834 -400 172890 240 0 FreeSans 560 90 0 0 la_data_in[62] -port 250 nsew signal input -flabel metal2 s 174607 -400 174663 240 0 FreeSans 560 90 0 0 la_data_in[63] -port 251 nsew signal input -flabel metal2 s 176380 -400 176436 240 0 FreeSans 560 90 0 0 la_data_in[64] -port 252 nsew signal input -flabel metal2 s 178153 -400 178209 240 0 FreeSans 560 90 0 0 la_data_in[65] -port 253 nsew signal input -flabel metal2 s 179926 -400 179982 240 0 FreeSans 560 90 0 0 la_data_in[66] -port 254 nsew signal input -flabel metal2 s 181699 -400 181755 240 0 FreeSans 560 90 0 0 la_data_in[67] -port 255 nsew signal input -flabel metal2 s 183472 -400 183528 240 0 FreeSans 560 90 0 0 la_data_in[68] -port 256 nsew signal input -flabel metal2 s 185245 -400 185301 240 0 FreeSans 560 90 0 0 la_data_in[69] -port 257 nsew signal input -flabel metal2 s 73546 -400 73602 240 0 FreeSans 560 90 0 0 la_data_in[6] -port 258 nsew signal input -flabel metal2 s 187018 -400 187074 240 0 FreeSans 560 90 0 0 la_data_in[70] -port 259 nsew signal input -flabel metal2 s 188791 -400 188847 240 0 FreeSans 560 90 0 0 la_data_in[71] -port 260 nsew signal input -flabel metal2 s 190564 -400 190620 240 0 FreeSans 560 90 0 0 la_data_in[72] -port 261 nsew signal input -flabel metal2 s 192337 -400 192393 240 0 FreeSans 560 90 0 0 la_data_in[73] -port 262 nsew signal input -flabel metal2 s 194110 -400 194166 240 0 FreeSans 560 90 0 0 la_data_in[74] -port 263 nsew signal input -flabel metal2 s 195883 -400 195939 240 0 FreeSans 560 90 0 0 la_data_in[75] -port 264 nsew signal input -flabel metal2 s 197656 -400 197712 240 0 FreeSans 560 90 0 0 la_data_in[76] -port 265 nsew signal input -flabel metal2 s 199429 -400 199485 240 0 FreeSans 560 90 0 0 la_data_in[77] -port 266 nsew signal input -flabel metal2 s 201202 -400 201258 240 0 FreeSans 560 90 0 0 la_data_in[78] -port 267 nsew signal input -flabel metal2 s 202975 -400 203031 240 0 FreeSans 560 90 0 0 la_data_in[79] -port 268 nsew signal input -flabel metal2 s 75319 -400 75375 240 0 FreeSans 560 90 0 0 la_data_in[7] -port 269 nsew signal input -flabel metal2 s 204748 -400 204804 240 0 FreeSans 560 90 0 0 la_data_in[80] -port 270 nsew signal input -flabel metal2 s 206521 -400 206577 240 0 FreeSans 560 90 0 0 la_data_in[81] -port 271 nsew signal input -flabel metal2 s 208294 -400 208350 240 0 FreeSans 560 90 0 0 la_data_in[82] -port 272 nsew signal input -flabel metal2 s 210067 -400 210123 240 0 FreeSans 560 90 0 0 la_data_in[83] -port 273 nsew signal input -flabel metal2 s 211840 -400 211896 240 0 FreeSans 560 90 0 0 la_data_in[84] -port 274 nsew signal input -flabel metal2 s 213613 -400 213669 240 0 FreeSans 560 90 0 0 la_data_in[85] -port 275 nsew signal input -flabel metal2 s 215386 -400 215442 240 0 FreeSans 560 90 0 0 la_data_in[86] -port 276 nsew signal input -flabel metal2 s 217159 -400 217215 240 0 FreeSans 560 90 0 0 la_data_in[87] -port 277 nsew signal input -flabel metal2 s 218932 -400 218988 240 0 FreeSans 560 90 0 0 la_data_in[88] -port 278 nsew signal input -flabel metal2 s 220705 -400 220761 240 0 FreeSans 560 90 0 0 la_data_in[89] -port 279 nsew signal input -flabel metal2 s 77092 -400 77148 240 0 FreeSans 560 90 0 0 la_data_in[8] -port 280 nsew signal input -flabel metal2 s 222478 -400 222534 240 0 FreeSans 560 90 0 0 la_data_in[90] -port 281 nsew signal input -flabel metal2 s 224251 -400 224307 240 0 FreeSans 560 90 0 0 la_data_in[91] -port 282 nsew signal input -flabel metal2 s 226024 -400 226080 240 0 FreeSans 560 90 0 0 la_data_in[92] -port 283 nsew signal input -flabel metal2 s 227797 -400 227853 240 0 FreeSans 560 90 0 0 la_data_in[93] -port 284 nsew signal input -flabel metal2 s 229570 -400 229626 240 0 FreeSans 560 90 0 0 la_data_in[94] -port 285 nsew signal input -flabel metal2 s 231343 -400 231399 240 0 FreeSans 560 90 0 0 la_data_in[95] -port 286 nsew signal input -flabel metal2 s 233116 -400 233172 240 0 FreeSans 560 90 0 0 la_data_in[96] -port 287 nsew signal input -flabel metal2 s 234889 -400 234945 240 0 FreeSans 560 90 0 0 la_data_in[97] -port 288 nsew signal input -flabel metal2 s 236662 -400 236718 240 0 FreeSans 560 90 0 0 la_data_in[98] -port 289 nsew signal input -flabel metal2 s 238435 -400 238491 240 0 FreeSans 560 90 0 0 la_data_in[99] -port 290 nsew signal input -flabel metal2 s 78865 -400 78921 240 0 FreeSans 560 90 0 0 la_data_in[9] -port 291 nsew signal input -flabel metal2 s 63499 -400 63555 240 0 FreeSans 560 90 0 0 la_data_out[0] -port 292 nsew signal tristate -flabel metal2 s 240799 -400 240855 240 0 FreeSans 560 90 0 0 la_data_out[100] -port 293 nsew signal tristate -flabel metal2 s 242572 -400 242628 240 0 FreeSans 560 90 0 0 la_data_out[101] -port 294 nsew signal tristate -flabel metal2 s 244345 -400 244401 240 0 FreeSans 560 90 0 0 la_data_out[102] -port 295 nsew signal tristate -flabel metal2 s 246118 -400 246174 240 0 FreeSans 560 90 0 0 la_data_out[103] -port 296 nsew signal tristate -flabel metal2 s 247891 -400 247947 240 0 FreeSans 560 90 0 0 la_data_out[104] -port 297 nsew signal tristate -flabel metal2 s 249664 -400 249720 240 0 FreeSans 560 90 0 0 la_data_out[105] -port 298 nsew signal tristate -flabel metal2 s 251437 -400 251493 240 0 FreeSans 560 90 0 0 la_data_out[106] -port 299 nsew signal tristate -flabel metal2 s 253210 -400 253266 240 0 FreeSans 560 90 0 0 la_data_out[107] -port 300 nsew signal tristate -flabel metal2 s 254983 -400 255039 240 0 FreeSans 560 90 0 0 la_data_out[108] -port 301 nsew signal tristate -flabel metal2 s 256756 -400 256812 240 0 FreeSans 560 90 0 0 la_data_out[109] -port 302 nsew signal tristate -flabel metal2 s 81229 -400 81285 240 0 FreeSans 560 90 0 0 la_data_out[10] -port 303 nsew signal tristate -flabel metal2 s 258529 -400 258585 240 0 FreeSans 560 90 0 0 la_data_out[110] -port 304 nsew signal tristate -flabel metal2 s 260302 -400 260358 240 0 FreeSans 560 90 0 0 la_data_out[111] -port 305 nsew signal tristate -flabel metal2 s 262075 -400 262131 240 0 FreeSans 560 90 0 0 la_data_out[112] -port 306 nsew signal tristate -flabel metal2 s 263848 -400 263904 240 0 FreeSans 560 90 0 0 la_data_out[113] -port 307 nsew signal tristate -flabel metal2 s 265621 -400 265677 240 0 FreeSans 560 90 0 0 la_data_out[114] -port 308 nsew signal tristate -flabel metal2 s 267394 -400 267450 240 0 FreeSans 560 90 0 0 la_data_out[115] -port 309 nsew signal tristate -flabel metal2 s 269167 -400 269223 240 0 FreeSans 560 90 0 0 la_data_out[116] -port 310 nsew signal tristate -flabel metal2 s 270940 -400 270996 240 0 FreeSans 560 90 0 0 la_data_out[117] -port 311 nsew signal tristate -flabel metal2 s 272713 -400 272769 240 0 FreeSans 560 90 0 0 la_data_out[118] -port 312 nsew signal tristate -flabel metal2 s 274486 -400 274542 240 0 FreeSans 560 90 0 0 la_data_out[119] -port 313 nsew signal tristate -flabel metal2 s 83002 -400 83058 240 0 FreeSans 560 90 0 0 la_data_out[11] -port 314 nsew signal tristate -flabel metal2 s 276259 -400 276315 240 0 FreeSans 560 90 0 0 la_data_out[120] -port 315 nsew signal tristate -flabel metal2 s 278032 -400 278088 240 0 FreeSans 560 90 0 0 la_data_out[121] -port 316 nsew signal tristate -flabel metal2 s 279805 -400 279861 240 0 FreeSans 560 90 0 0 la_data_out[122] -port 317 nsew signal tristate -flabel metal2 s 281578 -400 281634 240 0 FreeSans 560 90 0 0 la_data_out[123] -port 318 nsew signal tristate -flabel metal2 s 283351 -400 283407 240 0 FreeSans 560 90 0 0 la_data_out[124] -port 319 nsew signal tristate -flabel metal2 s 285124 -400 285180 240 0 FreeSans 560 90 0 0 la_data_out[125] -port 320 nsew signal tristate -flabel metal2 s 286897 -400 286953 240 0 FreeSans 560 90 0 0 la_data_out[126] -port 321 nsew signal tristate -flabel metal2 s 288670 -400 288726 240 0 FreeSans 560 90 0 0 la_data_out[127] -port 322 nsew signal tristate -flabel metal2 s 84775 -400 84831 240 0 FreeSans 560 90 0 0 la_data_out[12] -port 323 nsew signal tristate -flabel metal2 s 86548 -400 86604 240 0 FreeSans 560 90 0 0 la_data_out[13] -port 324 nsew signal tristate -flabel metal2 s 88321 -400 88377 240 0 FreeSans 560 90 0 0 la_data_out[14] -port 325 nsew signal tristate -flabel metal2 s 90094 -400 90150 240 0 FreeSans 560 90 0 0 la_data_out[15] -port 326 nsew signal tristate -flabel metal2 s 91867 -400 91923 240 0 FreeSans 560 90 0 0 la_data_out[16] -port 327 nsew signal tristate -flabel metal2 s 93640 -400 93696 240 0 FreeSans 560 90 0 0 la_data_out[17] -port 328 nsew signal tristate -flabel metal2 s 95413 -400 95469 240 0 FreeSans 560 90 0 0 la_data_out[18] -port 329 nsew signal tristate -flabel metal2 s 97186 -400 97242 240 0 FreeSans 560 90 0 0 la_data_out[19] -port 330 nsew signal tristate -flabel metal2 s 65272 -400 65328 240 0 FreeSans 560 90 0 0 la_data_out[1] -port 331 nsew signal tristate -flabel metal2 s 98959 -400 99015 240 0 FreeSans 560 90 0 0 la_data_out[20] -port 332 nsew signal tristate -flabel metal2 s 100732 -400 100788 240 0 FreeSans 560 90 0 0 la_data_out[21] -port 333 nsew signal tristate -flabel metal2 s 102505 -400 102561 240 0 FreeSans 560 90 0 0 la_data_out[22] -port 334 nsew signal tristate -flabel metal2 s 104278 -400 104334 240 0 FreeSans 560 90 0 0 la_data_out[23] -port 335 nsew signal tristate -flabel metal2 s 106051 -400 106107 240 0 FreeSans 560 90 0 0 la_data_out[24] -port 336 nsew signal tristate -flabel metal2 s 107824 -400 107880 240 0 FreeSans 560 90 0 0 la_data_out[25] -port 337 nsew signal tristate -flabel metal2 s 109597 -400 109653 240 0 FreeSans 560 90 0 0 la_data_out[26] -port 338 nsew signal tristate -flabel metal2 s 111370 -400 111426 240 0 FreeSans 560 90 0 0 la_data_out[27] -port 339 nsew signal tristate -flabel metal2 s 113143 -400 113199 240 0 FreeSans 560 90 0 0 la_data_out[28] -port 340 nsew signal tristate -flabel metal2 s 114916 -400 114972 240 0 FreeSans 560 90 0 0 la_data_out[29] -port 341 nsew signal tristate -flabel metal2 s 67045 -400 67101 240 0 FreeSans 560 90 0 0 la_data_out[2] -port 342 nsew signal tristate -flabel metal2 s 116689 -400 116745 240 0 FreeSans 560 90 0 0 la_data_out[30] -port 343 nsew signal tristate -flabel metal2 s 118462 -400 118518 240 0 FreeSans 560 90 0 0 la_data_out[31] -port 344 nsew signal tristate -flabel metal2 s 120235 -400 120291 240 0 FreeSans 560 90 0 0 la_data_out[32] -port 345 nsew signal tristate -flabel metal2 s 122008 -400 122064 240 0 FreeSans 560 90 0 0 la_data_out[33] -port 346 nsew signal tristate -flabel metal2 s 123781 -400 123837 240 0 FreeSans 560 90 0 0 la_data_out[34] -port 347 nsew signal tristate -flabel metal2 s 125554 -400 125610 240 0 FreeSans 560 90 0 0 la_data_out[35] -port 348 nsew signal tristate -flabel metal2 s 127327 -400 127383 240 0 FreeSans 560 90 0 0 la_data_out[36] -port 349 nsew signal tristate -flabel metal2 s 129100 -400 129156 240 0 FreeSans 560 90 0 0 la_data_out[37] -port 350 nsew signal tristate -flabel metal2 s 130873 -400 130929 240 0 FreeSans 560 90 0 0 la_data_out[38] -port 351 nsew signal tristate -flabel metal2 s 132646 -400 132702 240 0 FreeSans 560 90 0 0 la_data_out[39] -port 352 nsew signal tristate -flabel metal2 s 68818 -400 68874 240 0 FreeSans 560 90 0 0 la_data_out[3] -port 353 nsew signal tristate -flabel metal2 s 134419 -400 134475 240 0 FreeSans 560 90 0 0 la_data_out[40] -port 354 nsew signal tristate -flabel metal2 s 136192 -400 136248 240 0 FreeSans 560 90 0 0 la_data_out[41] -port 355 nsew signal tristate -flabel metal2 s 137965 -400 138021 240 0 FreeSans 560 90 0 0 la_data_out[42] -port 356 nsew signal tristate -flabel metal2 s 139738 -400 139794 240 0 FreeSans 560 90 0 0 la_data_out[43] -port 357 nsew signal tristate -flabel metal2 s 141511 -400 141567 240 0 FreeSans 560 90 0 0 la_data_out[44] -port 358 nsew signal tristate -flabel metal2 s 143284 -400 143340 240 0 FreeSans 560 90 0 0 la_data_out[45] -port 359 nsew signal tristate -flabel metal2 s 145057 -400 145113 240 0 FreeSans 560 90 0 0 la_data_out[46] -port 360 nsew signal tristate -flabel metal2 s 146830 -400 146886 240 0 FreeSans 560 90 0 0 la_data_out[47] -port 361 nsew signal tristate -flabel metal2 s 148603 -400 148659 240 0 FreeSans 560 90 0 0 la_data_out[48] -port 362 nsew signal tristate -flabel metal2 s 150376 -400 150432 240 0 FreeSans 560 90 0 0 la_data_out[49] -port 363 nsew signal tristate -flabel metal2 s 70591 -400 70647 240 0 FreeSans 560 90 0 0 la_data_out[4] -port 364 nsew signal tristate -flabel metal2 s 152149 -400 152205 240 0 FreeSans 560 90 0 0 la_data_out[50] -port 365 nsew signal tristate -flabel metal2 s 153922 -400 153978 240 0 FreeSans 560 90 0 0 la_data_out[51] -port 366 nsew signal tristate -flabel metal2 s 155695 -400 155751 240 0 FreeSans 560 90 0 0 la_data_out[52] -port 367 nsew signal tristate -flabel metal2 s 157468 -400 157524 240 0 FreeSans 560 90 0 0 la_data_out[53] -port 368 nsew signal tristate -flabel metal2 s 159241 -400 159297 240 0 FreeSans 560 90 0 0 la_data_out[54] -port 369 nsew signal tristate -flabel metal2 s 161014 -400 161070 240 0 FreeSans 560 90 0 0 la_data_out[55] -port 370 nsew signal tristate -flabel metal2 s 162787 -400 162843 240 0 FreeSans 560 90 0 0 la_data_out[56] -port 371 nsew signal tristate -flabel metal2 s 164560 -400 164616 240 0 FreeSans 560 90 0 0 la_data_out[57] -port 372 nsew signal tristate -flabel metal2 s 166333 -400 166389 240 0 FreeSans 560 90 0 0 la_data_out[58] -port 373 nsew signal tristate -flabel metal2 s 168106 -400 168162 240 0 FreeSans 560 90 0 0 la_data_out[59] -port 374 nsew signal tristate -flabel metal2 s 72364 -400 72420 240 0 FreeSans 560 90 0 0 la_data_out[5] -port 375 nsew signal tristate -flabel metal2 s 169879 -400 169935 240 0 FreeSans 560 90 0 0 la_data_out[60] -port 376 nsew signal tristate -flabel metal2 s 171652 -400 171708 240 0 FreeSans 560 90 0 0 la_data_out[61] -port 377 nsew signal tristate -flabel metal2 s 173425 -400 173481 240 0 FreeSans 560 90 0 0 la_data_out[62] -port 378 nsew signal tristate -flabel metal2 s 175198 -400 175254 240 0 FreeSans 560 90 0 0 la_data_out[63] -port 379 nsew signal tristate -flabel metal2 s 176971 -400 177027 240 0 FreeSans 560 90 0 0 la_data_out[64] -port 380 nsew signal tristate -flabel metal2 s 178744 -400 178800 240 0 FreeSans 560 90 0 0 la_data_out[65] -port 381 nsew signal tristate -flabel metal2 s 180517 -400 180573 240 0 FreeSans 560 90 0 0 la_data_out[66] -port 382 nsew signal tristate -flabel metal2 s 182290 -400 182346 240 0 FreeSans 560 90 0 0 la_data_out[67] -port 383 nsew signal tristate -flabel metal2 s 184063 -400 184119 240 0 FreeSans 560 90 0 0 la_data_out[68] -port 384 nsew signal tristate -flabel metal2 s 185836 -400 185892 240 0 FreeSans 560 90 0 0 la_data_out[69] -port 385 nsew signal tristate -flabel metal2 s 74137 -400 74193 240 0 FreeSans 560 90 0 0 la_data_out[6] -port 386 nsew signal tristate -flabel metal2 s 187609 -400 187665 240 0 FreeSans 560 90 0 0 la_data_out[70] -port 387 nsew signal tristate -flabel metal2 s 189382 -400 189438 240 0 FreeSans 560 90 0 0 la_data_out[71] -port 388 nsew signal tristate -flabel metal2 s 191155 -400 191211 240 0 FreeSans 560 90 0 0 la_data_out[72] -port 389 nsew signal tristate -flabel metal2 s 192928 -400 192984 240 0 FreeSans 560 90 0 0 la_data_out[73] -port 390 nsew signal tristate -flabel metal2 s 194701 -400 194757 240 0 FreeSans 560 90 0 0 la_data_out[74] -port 391 nsew signal tristate -flabel metal2 s 196474 -400 196530 240 0 FreeSans 560 90 0 0 la_data_out[75] -port 392 nsew signal tristate -flabel metal2 s 198247 -400 198303 240 0 FreeSans 560 90 0 0 la_data_out[76] -port 393 nsew signal tristate -flabel metal2 s 200020 -400 200076 240 0 FreeSans 560 90 0 0 la_data_out[77] -port 394 nsew signal tristate -flabel metal2 s 201793 -400 201849 240 0 FreeSans 560 90 0 0 la_data_out[78] -port 395 nsew signal tristate -flabel metal2 s 203566 -400 203622 240 0 FreeSans 560 90 0 0 la_data_out[79] -port 396 nsew signal tristate -flabel metal2 s 75910 -400 75966 240 0 FreeSans 560 90 0 0 la_data_out[7] -port 397 nsew signal tristate -flabel metal2 s 205339 -400 205395 240 0 FreeSans 560 90 0 0 la_data_out[80] -port 398 nsew signal tristate -flabel metal2 s 207112 -400 207168 240 0 FreeSans 560 90 0 0 la_data_out[81] -port 399 nsew signal tristate -flabel metal2 s 208885 -400 208941 240 0 FreeSans 560 90 0 0 la_data_out[82] -port 400 nsew signal tristate -flabel metal2 s 210658 -400 210714 240 0 FreeSans 560 90 0 0 la_data_out[83] -port 401 nsew signal tristate -flabel metal2 s 212431 -400 212487 240 0 FreeSans 560 90 0 0 la_data_out[84] -port 402 nsew signal tristate -flabel metal2 s 214204 -400 214260 240 0 FreeSans 560 90 0 0 la_data_out[85] -port 403 nsew signal tristate -flabel metal2 s 215977 -400 216033 240 0 FreeSans 560 90 0 0 la_data_out[86] -port 404 nsew signal tristate -flabel metal2 s 217750 -400 217806 240 0 FreeSans 560 90 0 0 la_data_out[87] -port 405 nsew signal tristate -flabel metal2 s 219523 -400 219579 240 0 FreeSans 560 90 0 0 la_data_out[88] -port 406 nsew signal tristate -flabel metal2 s 221296 -400 221352 240 0 FreeSans 560 90 0 0 la_data_out[89] -port 407 nsew signal tristate -flabel metal2 s 77683 -400 77739 240 0 FreeSans 560 90 0 0 la_data_out[8] -port 408 nsew signal tristate -flabel metal2 s 223069 -400 223125 240 0 FreeSans 560 90 0 0 la_data_out[90] -port 409 nsew signal tristate -flabel metal2 s 224842 -400 224898 240 0 FreeSans 560 90 0 0 la_data_out[91] -port 410 nsew signal tristate -flabel metal2 s 226615 -400 226671 240 0 FreeSans 560 90 0 0 la_data_out[92] -port 411 nsew signal tristate -flabel metal2 s 228388 -400 228444 240 0 FreeSans 560 90 0 0 la_data_out[93] -port 412 nsew signal tristate -flabel metal2 s 230161 -400 230217 240 0 FreeSans 560 90 0 0 la_data_out[94] -port 413 nsew signal tristate -flabel metal2 s 231934 -400 231990 240 0 FreeSans 560 90 0 0 la_data_out[95] -port 414 nsew signal tristate -flabel metal2 s 233707 -400 233763 240 0 FreeSans 560 90 0 0 la_data_out[96] -port 415 nsew signal tristate -flabel metal2 s 235480 -400 235536 240 0 FreeSans 560 90 0 0 la_data_out[97] -port 416 nsew signal tristate -flabel metal2 s 237253 -400 237309 240 0 FreeSans 560 90 0 0 la_data_out[98] -port 417 nsew signal tristate -flabel metal2 s 239026 -400 239082 240 0 FreeSans 560 90 0 0 la_data_out[99] -port 418 nsew signal tristate -flabel metal2 s 79456 -400 79512 240 0 FreeSans 560 90 0 0 la_data_out[9] -port 419 nsew signal tristate -flabel metal2 s 64090 -400 64146 240 0 FreeSans 560 90 0 0 la_oenb[0] -port 420 nsew signal input -flabel metal2 s 241390 -400 241446 240 0 FreeSans 560 90 0 0 la_oenb[100] -port 421 nsew signal input -flabel metal2 s 243163 -400 243219 240 0 FreeSans 560 90 0 0 la_oenb[101] -port 422 nsew signal input -flabel metal2 s 244936 -400 244992 240 0 FreeSans 560 90 0 0 la_oenb[102] -port 423 nsew signal input -flabel metal2 s 246709 -400 246765 240 0 FreeSans 560 90 0 0 la_oenb[103] -port 424 nsew signal input -flabel metal2 s 248482 -400 248538 240 0 FreeSans 560 90 0 0 la_oenb[104] -port 425 nsew signal input -flabel metal2 s 250255 -400 250311 240 0 FreeSans 560 90 0 0 la_oenb[105] -port 426 nsew signal input -flabel metal2 s 252028 -400 252084 240 0 FreeSans 560 90 0 0 la_oenb[106] -port 427 nsew signal input -flabel metal2 s 253801 -400 253857 240 0 FreeSans 560 90 0 0 la_oenb[107] -port 428 nsew signal input -flabel metal2 s 255574 -400 255630 240 0 FreeSans 560 90 0 0 la_oenb[108] -port 429 nsew signal input -flabel metal2 s 257347 -400 257403 240 0 FreeSans 560 90 0 0 la_oenb[109] -port 430 nsew signal input -flabel metal2 s 81820 -400 81876 240 0 FreeSans 560 90 0 0 la_oenb[10] -port 431 nsew signal input -flabel metal2 s 259120 -400 259176 240 0 FreeSans 560 90 0 0 la_oenb[110] -port 432 nsew signal input -flabel metal2 s 260893 -400 260949 240 0 FreeSans 560 90 0 0 la_oenb[111] -port 433 nsew signal input -flabel metal2 s 262666 -400 262722 240 0 FreeSans 560 90 0 0 la_oenb[112] -port 434 nsew signal input -flabel metal2 s 264439 -400 264495 240 0 FreeSans 560 90 0 0 la_oenb[113] -port 435 nsew signal input -flabel metal2 s 266212 -400 266268 240 0 FreeSans 560 90 0 0 la_oenb[114] -port 436 nsew signal input -flabel metal2 s 267985 -400 268041 240 0 FreeSans 560 90 0 0 la_oenb[115] -port 437 nsew signal input -flabel metal2 s 269758 -400 269814 240 0 FreeSans 560 90 0 0 la_oenb[116] -port 438 nsew signal input -flabel metal2 s 271531 -400 271587 240 0 FreeSans 560 90 0 0 la_oenb[117] -port 439 nsew signal input -flabel metal2 s 273304 -400 273360 240 0 FreeSans 560 90 0 0 la_oenb[118] -port 440 nsew signal input -flabel metal2 s 275077 -400 275133 240 0 FreeSans 560 90 0 0 la_oenb[119] -port 441 nsew signal input -flabel metal2 s 83593 -400 83649 240 0 FreeSans 560 90 0 0 la_oenb[11] -port 442 nsew signal input -flabel metal2 s 276850 -400 276906 240 0 FreeSans 560 90 0 0 la_oenb[120] -port 443 nsew signal input -flabel metal2 s 278623 -400 278679 240 0 FreeSans 560 90 0 0 la_oenb[121] -port 444 nsew signal input -flabel metal2 s 280396 -400 280452 240 0 FreeSans 560 90 0 0 la_oenb[122] -port 445 nsew signal input -flabel metal2 s 282169 -400 282225 240 0 FreeSans 560 90 0 0 la_oenb[123] -port 446 nsew signal input -flabel metal2 s 283942 -400 283998 240 0 FreeSans 560 90 0 0 la_oenb[124] -port 447 nsew signal input -flabel metal2 s 285715 -400 285771 240 0 FreeSans 560 90 0 0 la_oenb[125] -port 448 nsew signal input -flabel metal2 s 287488 -400 287544 240 0 FreeSans 560 90 0 0 la_oenb[126] -port 449 nsew signal input -flabel metal2 s 289261 -400 289317 240 0 FreeSans 560 90 0 0 la_oenb[127] -port 450 nsew signal input -flabel metal2 s 85366 -400 85422 240 0 FreeSans 560 90 0 0 la_oenb[12] -port 451 nsew signal input -flabel metal2 s 87139 -400 87195 240 0 FreeSans 560 90 0 0 la_oenb[13] -port 452 nsew signal input -flabel metal2 s 88912 -400 88968 240 0 FreeSans 560 90 0 0 la_oenb[14] -port 453 nsew signal input -flabel metal2 s 90685 -400 90741 240 0 FreeSans 560 90 0 0 la_oenb[15] -port 454 nsew signal input -flabel metal2 s 92458 -400 92514 240 0 FreeSans 560 90 0 0 la_oenb[16] -port 455 nsew signal input -flabel metal2 s 94231 -400 94287 240 0 FreeSans 560 90 0 0 la_oenb[17] -port 456 nsew signal input -flabel metal2 s 96004 -400 96060 240 0 FreeSans 560 90 0 0 la_oenb[18] -port 457 nsew signal input -flabel metal2 s 97777 -400 97833 240 0 FreeSans 560 90 0 0 la_oenb[19] -port 458 nsew signal input -flabel metal2 s 65863 -400 65919 240 0 FreeSans 560 90 0 0 la_oenb[1] -port 459 nsew signal input -flabel metal2 s 99550 -400 99606 240 0 FreeSans 560 90 0 0 la_oenb[20] -port 460 nsew signal input -flabel metal2 s 101323 -400 101379 240 0 FreeSans 560 90 0 0 la_oenb[21] -port 461 nsew signal input -flabel metal2 s 103096 -400 103152 240 0 FreeSans 560 90 0 0 la_oenb[22] -port 462 nsew signal input -flabel metal2 s 104869 -400 104925 240 0 FreeSans 560 90 0 0 la_oenb[23] -port 463 nsew signal input -flabel metal2 s 106642 -400 106698 240 0 FreeSans 560 90 0 0 la_oenb[24] -port 464 nsew signal input -flabel metal2 s 108415 -400 108471 240 0 FreeSans 560 90 0 0 la_oenb[25] -port 465 nsew signal input -flabel metal2 s 110188 -400 110244 240 0 FreeSans 560 90 0 0 la_oenb[26] -port 466 nsew signal input -flabel metal2 s 111961 -400 112017 240 0 FreeSans 560 90 0 0 la_oenb[27] -port 467 nsew signal input -flabel metal2 s 113734 -400 113790 240 0 FreeSans 560 90 0 0 la_oenb[28] -port 468 nsew signal input -flabel metal2 s 115507 -400 115563 240 0 FreeSans 560 90 0 0 la_oenb[29] -port 469 nsew signal input -flabel metal2 s 67636 -400 67692 240 0 FreeSans 560 90 0 0 la_oenb[2] -port 470 nsew signal input -flabel metal2 s 117280 -400 117336 240 0 FreeSans 560 90 0 0 la_oenb[30] -port 471 nsew signal input -flabel metal2 s 119053 -400 119109 240 0 FreeSans 560 90 0 0 la_oenb[31] -port 472 nsew signal input -flabel metal2 s 120826 -400 120882 240 0 FreeSans 560 90 0 0 la_oenb[32] -port 473 nsew signal input -flabel metal2 s 122599 -400 122655 240 0 FreeSans 560 90 0 0 la_oenb[33] -port 474 nsew signal input -flabel metal2 s 124372 -400 124428 240 0 FreeSans 560 90 0 0 la_oenb[34] -port 475 nsew signal input -flabel metal2 s 126145 -400 126201 240 0 FreeSans 560 90 0 0 la_oenb[35] -port 476 nsew signal input -flabel metal2 s 127918 -400 127974 240 0 FreeSans 560 90 0 0 la_oenb[36] -port 477 nsew signal input -flabel metal2 s 129691 -400 129747 240 0 FreeSans 560 90 0 0 la_oenb[37] -port 478 nsew signal input -flabel metal2 s 131464 -400 131520 240 0 FreeSans 560 90 0 0 la_oenb[38] -port 479 nsew signal input -flabel metal2 s 133237 -400 133293 240 0 FreeSans 560 90 0 0 la_oenb[39] -port 480 nsew signal input -flabel metal2 s 69409 -400 69465 240 0 FreeSans 560 90 0 0 la_oenb[3] -port 481 nsew signal input -flabel metal2 s 135010 -400 135066 240 0 FreeSans 560 90 0 0 la_oenb[40] -port 482 nsew signal input -flabel metal2 s 136783 -400 136839 240 0 FreeSans 560 90 0 0 la_oenb[41] -port 483 nsew signal input -flabel metal2 s 138556 -400 138612 240 0 FreeSans 560 90 0 0 la_oenb[42] -port 484 nsew signal input -flabel metal2 s 140329 -400 140385 240 0 FreeSans 560 90 0 0 la_oenb[43] -port 485 nsew signal input -flabel metal2 s 142102 -400 142158 240 0 FreeSans 560 90 0 0 la_oenb[44] -port 486 nsew signal input -flabel metal2 s 143875 -400 143931 240 0 FreeSans 560 90 0 0 la_oenb[45] -port 487 nsew signal input -flabel metal2 s 145648 -400 145704 240 0 FreeSans 560 90 0 0 la_oenb[46] -port 488 nsew signal input -flabel metal2 s 147421 -400 147477 240 0 FreeSans 560 90 0 0 la_oenb[47] -port 489 nsew signal input -flabel metal2 s 149194 -400 149250 240 0 FreeSans 560 90 0 0 la_oenb[48] -port 490 nsew signal input -flabel metal2 s 150967 -400 151023 240 0 FreeSans 560 90 0 0 la_oenb[49] -port 491 nsew signal input -flabel metal2 s 71182 -400 71238 240 0 FreeSans 560 90 0 0 la_oenb[4] -port 492 nsew signal input -flabel metal2 s 152740 -400 152796 240 0 FreeSans 560 90 0 0 la_oenb[50] -port 493 nsew signal input -flabel metal2 s 154513 -400 154569 240 0 FreeSans 560 90 0 0 la_oenb[51] -port 494 nsew signal input -flabel metal2 s 156286 -400 156342 240 0 FreeSans 560 90 0 0 la_oenb[52] -port 495 nsew signal input -flabel metal2 s 158059 -400 158115 240 0 FreeSans 560 90 0 0 la_oenb[53] -port 496 nsew signal input -flabel metal2 s 159832 -400 159888 240 0 FreeSans 560 90 0 0 la_oenb[54] -port 497 nsew signal input -flabel metal2 s 161605 -400 161661 240 0 FreeSans 560 90 0 0 la_oenb[55] -port 498 nsew signal input -flabel metal2 s 163378 -400 163434 240 0 FreeSans 560 90 0 0 la_oenb[56] -port 499 nsew signal input -flabel metal2 s 165151 -400 165207 240 0 FreeSans 560 90 0 0 la_oenb[57] -port 500 nsew signal input -flabel metal2 s 166924 -400 166980 240 0 FreeSans 560 90 0 0 la_oenb[58] -port 501 nsew signal input -flabel metal2 s 168697 -400 168753 240 0 FreeSans 560 90 0 0 la_oenb[59] -port 502 nsew signal input -flabel metal2 s 72955 -400 73011 240 0 FreeSans 560 90 0 0 la_oenb[5] -port 503 nsew signal input -flabel metal2 s 170470 -400 170526 240 0 FreeSans 560 90 0 0 la_oenb[60] -port 504 nsew signal input -flabel metal2 s 172243 -400 172299 240 0 FreeSans 560 90 0 0 la_oenb[61] -port 505 nsew signal input -flabel metal2 s 174016 -400 174072 240 0 FreeSans 560 90 0 0 la_oenb[62] -port 506 nsew signal input -flabel metal2 s 175789 -400 175845 240 0 FreeSans 560 90 0 0 la_oenb[63] -port 507 nsew signal input -flabel metal2 s 177562 -400 177618 240 0 FreeSans 560 90 0 0 la_oenb[64] -port 508 nsew signal input -flabel metal2 s 179335 -400 179391 240 0 FreeSans 560 90 0 0 la_oenb[65] -port 509 nsew signal input -flabel metal2 s 181108 -400 181164 240 0 FreeSans 560 90 0 0 la_oenb[66] -port 510 nsew signal input -flabel metal2 s 182881 -400 182937 240 0 FreeSans 560 90 0 0 la_oenb[67] -port 511 nsew signal input -flabel metal2 s 184654 -400 184710 240 0 FreeSans 560 90 0 0 la_oenb[68] -port 512 nsew signal input -flabel metal2 s 186427 -400 186483 240 0 FreeSans 560 90 0 0 la_oenb[69] -port 513 nsew signal input -flabel metal2 s 74728 -400 74784 240 0 FreeSans 560 90 0 0 la_oenb[6] -port 514 nsew signal input -flabel metal2 s 188200 -400 188256 240 0 FreeSans 560 90 0 0 la_oenb[70] -port 515 nsew signal input -flabel metal2 s 189973 -400 190029 240 0 FreeSans 560 90 0 0 la_oenb[71] -port 516 nsew signal input -flabel metal2 s 191746 -400 191802 240 0 FreeSans 560 90 0 0 la_oenb[72] -port 517 nsew signal input -flabel metal2 s 193519 -400 193575 240 0 FreeSans 560 90 0 0 la_oenb[73] -port 518 nsew signal input -flabel metal2 s 195292 -400 195348 240 0 FreeSans 560 90 0 0 la_oenb[74] -port 519 nsew signal input -flabel metal2 s 197065 -400 197121 240 0 FreeSans 560 90 0 0 la_oenb[75] -port 520 nsew signal input -flabel metal2 s 198838 -400 198894 240 0 FreeSans 560 90 0 0 la_oenb[76] -port 521 nsew signal input -flabel metal2 s 200611 -400 200667 240 0 FreeSans 560 90 0 0 la_oenb[77] -port 522 nsew signal input -flabel metal2 s 202384 -400 202440 240 0 FreeSans 560 90 0 0 la_oenb[78] -port 523 nsew signal input -flabel metal2 s 204157 -400 204213 240 0 FreeSans 560 90 0 0 la_oenb[79] -port 524 nsew signal input -flabel metal2 s 76501 -400 76557 240 0 FreeSans 560 90 0 0 la_oenb[7] -port 525 nsew signal input -flabel metal2 s 205930 -400 205986 240 0 FreeSans 560 90 0 0 la_oenb[80] -port 526 nsew signal input -flabel metal2 s 207703 -400 207759 240 0 FreeSans 560 90 0 0 la_oenb[81] -port 527 nsew signal input -flabel metal2 s 209476 -400 209532 240 0 FreeSans 560 90 0 0 la_oenb[82] -port 528 nsew signal input -flabel metal2 s 211249 -400 211305 240 0 FreeSans 560 90 0 0 la_oenb[83] -port 529 nsew signal input -flabel metal2 s 213022 -400 213078 240 0 FreeSans 560 90 0 0 la_oenb[84] -port 530 nsew signal input -flabel metal2 s 214795 -400 214851 240 0 FreeSans 560 90 0 0 la_oenb[85] -port 531 nsew signal input -flabel metal2 s 216568 -400 216624 240 0 FreeSans 560 90 0 0 la_oenb[86] -port 532 nsew signal input -flabel metal2 s 218341 -400 218397 240 0 FreeSans 560 90 0 0 la_oenb[87] -port 533 nsew signal input -flabel metal2 s 220114 -400 220170 240 0 FreeSans 560 90 0 0 la_oenb[88] -port 534 nsew signal input -flabel metal2 s 221887 -400 221943 240 0 FreeSans 560 90 0 0 la_oenb[89] -port 535 nsew signal input -flabel metal2 s 78274 -400 78330 240 0 FreeSans 560 90 0 0 la_oenb[8] -port 536 nsew signal input -flabel metal2 s 223660 -400 223716 240 0 FreeSans 560 90 0 0 la_oenb[90] -port 537 nsew signal input -flabel metal2 s 225433 -400 225489 240 0 FreeSans 560 90 0 0 la_oenb[91] -port 538 nsew signal input -flabel metal2 s 227206 -400 227262 240 0 FreeSans 560 90 0 0 la_oenb[92] -port 539 nsew signal input -flabel metal2 s 228979 -400 229035 240 0 FreeSans 560 90 0 0 la_oenb[93] -port 540 nsew signal input -flabel metal2 s 230752 -400 230808 240 0 FreeSans 560 90 0 0 la_oenb[94] -port 541 nsew signal input -flabel metal2 s 232525 -400 232581 240 0 FreeSans 560 90 0 0 la_oenb[95] -port 542 nsew signal input -flabel metal2 s 234298 -400 234354 240 0 FreeSans 560 90 0 0 la_oenb[96] -port 543 nsew signal input -flabel metal2 s 236071 -400 236127 240 0 FreeSans 560 90 0 0 la_oenb[97] -port 544 nsew signal input -flabel metal2 s 237844 -400 237900 240 0 FreeSans 560 90 0 0 la_oenb[98] -port 545 nsew signal input -flabel metal2 s 239617 -400 239673 240 0 FreeSans 560 90 0 0 la_oenb[99] -port 546 nsew signal input -flabel metal2 s 80047 -400 80103 240 0 FreeSans 560 90 0 0 la_oenb[9] -port 547 nsew signal input -flabel metal2 s 289852 -400 289908 240 0 FreeSans 560 90 0 0 user_clock2 -port 548 nsew signal input -flabel metal2 s 290443 -400 290499 240 0 FreeSans 560 90 0 0 user_irq[0] -port 549 nsew signal tristate -flabel metal2 s 291034 -400 291090 240 0 FreeSans 560 90 0 0 user_irq[1] -port 550 nsew signal tristate -flabel metal2 s 291625 -400 291681 240 0 FreeSans 560 90 0 0 user_irq[2] -port 551 nsew signal tristate -flabel metal3 s 291170 319892 292400 322292 0 FreeSans 560 0 0 0 vccd1 -port 552 nsew signal bidirectional -flabel metal3 s 291170 314892 292400 317292 0 FreeSans 560 0 0 0 vccd1 -port 553 nsew signal bidirectional -flabel metal3 s 0 321921 830 324321 0 FreeSans 560 0 0 0 vccd2 -port 554 nsew signal bidirectional -flabel metal3 s 0 316921 830 319321 0 FreeSans 560 0 0 0 vccd2 -port 555 nsew signal bidirectional -flabel metal3 s 291170 270281 292400 272681 0 FreeSans 560 0 0 0 vdda1 -port 556 nsew signal bidirectional -flabel metal3 s 291170 275281 292400 277681 0 FreeSans 560 0 0 0 vdda1 -port 557 nsew signal bidirectional -flabel metal3 s 291170 117615 292400 120015 0 FreeSans 560 0 0 0 vdda1 -port 558 nsew signal bidirectional -flabel metal3 s 291170 112615 292400 115015 0 FreeSans 560 0 0 0 vdda1 -port 559 nsew signal bidirectional -flabel metal3 s 0 102444 830 104844 0 FreeSans 560 0 0 0 vdda2 -port 560 nsew signal bidirectional -flabel metal3 s 0 107444 830 109844 0 FreeSans 560 0 0 0 vdda2 -port 561 nsew signal bidirectional -flabel metal3 s 260297 351170 262697 352400 0 FreeSans 960 180 0 0 vssa1 -port 562 nsew signal bidirectional -flabel metal3 s 255297 351170 257697 352400 0 FreeSans 960 180 0 0 vssa1 -port 563 nsew signal bidirectional -flabel metal3 s 291170 73415 292400 75815 0 FreeSans 560 0 0 0 vssa1 -port 564 nsew signal bidirectional -flabel metal3 s 291170 68415 292400 70815 0 FreeSans 560 0 0 0 vssa1 -port 565 nsew signal bidirectional -flabel metal3 s 0 279721 830 282121 0 FreeSans 560 0 0 0 vssa2 -port 566 nsew signal bidirectional -flabel metal3 s 0 274721 830 277121 0 FreeSans 560 0 0 0 vssa2 -port 567 nsew signal bidirectional -flabel metal3 s 291170 95715 292400 98115 0 FreeSans 560 0 0 0 vssd1 -port 568 nsew signal bidirectional -flabel metal3 s 291170 90715 292400 93115 0 FreeSans 560 0 0 0 vssd1 -port 569 nsew signal bidirectional -flabel metal3 s 0 86444 830 88844 0 FreeSans 560 0 0 0 vssd2 -port 570 nsew signal bidirectional -flabel metal3 s 0 81444 830 83844 0 FreeSans 560 0 0 0 vssd2 -port 571 nsew signal bidirectional -flabel metal2 s 262 -400 318 240 0 FreeSans 560 90 0 0 wb_clk_i -port 572 nsew signal input -flabel metal2 s 853 -400 909 240 0 FreeSans 560 90 0 0 wb_rst_i -port 573 nsew signal input -flabel metal2 s 1444 -400 1500 240 0 FreeSans 560 90 0 0 wbs_ack_o -port 574 nsew signal tristate -flabel metal2 s 3808 -400 3864 240 0 FreeSans 560 90 0 0 wbs_adr_i[0] -port 575 nsew signal input -flabel metal2 s 23902 -400 23958 240 0 FreeSans 560 90 0 0 wbs_adr_i[10] -port 576 nsew signal input -flabel metal2 s 25675 -400 25731 240 0 FreeSans 560 90 0 0 wbs_adr_i[11] -port 577 nsew signal input -flabel metal2 s 27448 -400 27504 240 0 FreeSans 560 90 0 0 wbs_adr_i[12] -port 578 nsew signal input -flabel metal2 s 29221 -400 29277 240 0 FreeSans 560 90 0 0 wbs_adr_i[13] -port 579 nsew signal input -flabel metal2 s 30994 -400 31050 240 0 FreeSans 560 90 0 0 wbs_adr_i[14] -port 580 nsew signal input -flabel metal2 s 32767 -400 32823 240 0 FreeSans 560 90 0 0 wbs_adr_i[15] -port 581 nsew signal input -flabel metal2 s 34540 -400 34596 240 0 FreeSans 560 90 0 0 wbs_adr_i[16] -port 582 nsew signal input -flabel metal2 s 36313 -400 36369 240 0 FreeSans 560 90 0 0 wbs_adr_i[17] -port 583 nsew signal input -flabel metal2 s 38086 -400 38142 240 0 FreeSans 560 90 0 0 wbs_adr_i[18] -port 584 nsew signal input -flabel metal2 s 39859 -400 39915 240 0 FreeSans 560 90 0 0 wbs_adr_i[19] -port 585 nsew signal input -flabel metal2 s 6172 -400 6228 240 0 FreeSans 560 90 0 0 wbs_adr_i[1] -port 586 nsew signal input -flabel metal2 s 41632 -400 41688 240 0 FreeSans 560 90 0 0 wbs_adr_i[20] -port 587 nsew signal input -flabel metal2 s 43405 -400 43461 240 0 FreeSans 560 90 0 0 wbs_adr_i[21] -port 588 nsew signal input -flabel metal2 s 45178 -400 45234 240 0 FreeSans 560 90 0 0 wbs_adr_i[22] -port 589 nsew signal input -flabel metal2 s 46951 -400 47007 240 0 FreeSans 560 90 0 0 wbs_adr_i[23] -port 590 nsew signal input -flabel metal2 s 48724 -400 48780 240 0 FreeSans 560 90 0 0 wbs_adr_i[24] -port 591 nsew signal input -flabel metal2 s 50497 -400 50553 240 0 FreeSans 560 90 0 0 wbs_adr_i[25] -port 592 nsew signal input -flabel metal2 s 52270 -400 52326 240 0 FreeSans 560 90 0 0 wbs_adr_i[26] -port 593 nsew signal input -flabel metal2 s 54043 -400 54099 240 0 FreeSans 560 90 0 0 wbs_adr_i[27] -port 594 nsew signal input -flabel metal2 s 55816 -400 55872 240 0 FreeSans 560 90 0 0 wbs_adr_i[28] -port 595 nsew signal input -flabel metal2 s 57589 -400 57645 240 0 FreeSans 560 90 0 0 wbs_adr_i[29] -port 596 nsew signal input -flabel metal2 s 8536 -400 8592 240 0 FreeSans 560 90 0 0 wbs_adr_i[2] -port 597 nsew signal input -flabel metal2 s 59362 -400 59418 240 0 FreeSans 560 90 0 0 wbs_adr_i[30] -port 598 nsew signal input -flabel metal2 s 61135 -400 61191 240 0 FreeSans 560 90 0 0 wbs_adr_i[31] -port 599 nsew signal input -flabel metal2 s 10900 -400 10956 240 0 FreeSans 560 90 0 0 wbs_adr_i[3] -port 600 nsew signal input -flabel metal2 s 13264 -400 13320 240 0 FreeSans 560 90 0 0 wbs_adr_i[4] -port 601 nsew signal input -flabel metal2 s 15037 -400 15093 240 0 FreeSans 560 90 0 0 wbs_adr_i[5] -port 602 nsew signal input -flabel metal2 s 16810 -400 16866 240 0 FreeSans 560 90 0 0 wbs_adr_i[6] -port 603 nsew signal input -flabel metal2 s 18583 -400 18639 240 0 FreeSans 560 90 0 0 wbs_adr_i[7] -port 604 nsew signal input -flabel metal2 s 20356 -400 20412 240 0 FreeSans 560 90 0 0 wbs_adr_i[8] -port 605 nsew signal input -flabel metal2 s 22129 -400 22185 240 0 FreeSans 560 90 0 0 wbs_adr_i[9] -port 606 nsew signal input -flabel metal2 s 2035 -400 2091 240 0 FreeSans 560 90 0 0 wbs_cyc_i -port 607 nsew signal input -flabel metal2 s 4399 -400 4455 240 0 FreeSans 560 90 0 0 wbs_dat_i[0] -port 608 nsew signal input -flabel metal2 s 24493 -400 24549 240 0 FreeSans 560 90 0 0 wbs_dat_i[10] -port 609 nsew signal input -flabel metal2 s 26266 -400 26322 240 0 FreeSans 560 90 0 0 wbs_dat_i[11] -port 610 nsew signal input -flabel metal2 s 28039 -400 28095 240 0 FreeSans 560 90 0 0 wbs_dat_i[12] -port 611 nsew signal input -flabel metal2 s 29812 -400 29868 240 0 FreeSans 560 90 0 0 wbs_dat_i[13] -port 612 nsew signal input -flabel metal2 s 31585 -400 31641 240 0 FreeSans 560 90 0 0 wbs_dat_i[14] -port 613 nsew signal input -flabel metal2 s 33358 -400 33414 240 0 FreeSans 560 90 0 0 wbs_dat_i[15] -port 614 nsew signal input -flabel metal2 s 35131 -400 35187 240 0 FreeSans 560 90 0 0 wbs_dat_i[16] -port 615 nsew signal input -flabel metal2 s 36904 -400 36960 240 0 FreeSans 560 90 0 0 wbs_dat_i[17] -port 616 nsew signal input -flabel metal2 s 38677 -400 38733 240 0 FreeSans 560 90 0 0 wbs_dat_i[18] -port 617 nsew signal input -flabel metal2 s 40450 -400 40506 240 0 FreeSans 560 90 0 0 wbs_dat_i[19] -port 618 nsew signal input -flabel metal2 s 6763 -400 6819 240 0 FreeSans 560 90 0 0 wbs_dat_i[1] -port 619 nsew signal input -flabel metal2 s 42223 -400 42279 240 0 FreeSans 560 90 0 0 wbs_dat_i[20] -port 620 nsew signal input -flabel metal2 s 43996 -400 44052 240 0 FreeSans 560 90 0 0 wbs_dat_i[21] -port 621 nsew signal input -flabel metal2 s 45769 -400 45825 240 0 FreeSans 560 90 0 0 wbs_dat_i[22] -port 622 nsew signal input -flabel metal2 s 47542 -400 47598 240 0 FreeSans 560 90 0 0 wbs_dat_i[23] -port 623 nsew signal input -flabel metal2 s 49315 -400 49371 240 0 FreeSans 560 90 0 0 wbs_dat_i[24] -port 624 nsew signal input -flabel metal2 s 51088 -400 51144 240 0 FreeSans 560 90 0 0 wbs_dat_i[25] -port 625 nsew signal input -flabel metal2 s 52861 -400 52917 240 0 FreeSans 560 90 0 0 wbs_dat_i[26] -port 626 nsew signal input -flabel metal2 s 54634 -400 54690 240 0 FreeSans 560 90 0 0 wbs_dat_i[27] -port 627 nsew signal input -flabel metal2 s 56407 -400 56463 240 0 FreeSans 560 90 0 0 wbs_dat_i[28] -port 628 nsew signal input -flabel metal2 s 58180 -400 58236 240 0 FreeSans 560 90 0 0 wbs_dat_i[29] -port 629 nsew signal input -flabel metal2 s 9127 -400 9183 240 0 FreeSans 560 90 0 0 wbs_dat_i[2] -port 630 nsew signal input -flabel metal2 s 59953 -400 60009 240 0 FreeSans 560 90 0 0 wbs_dat_i[30] -port 631 nsew signal input -flabel metal2 s 61726 -400 61782 240 0 FreeSans 560 90 0 0 wbs_dat_i[31] -port 632 nsew signal input -flabel metal2 s 11491 -400 11547 240 0 FreeSans 560 90 0 0 wbs_dat_i[3] -port 633 nsew signal input -flabel metal2 s 13855 -400 13911 240 0 FreeSans 560 90 0 0 wbs_dat_i[4] -port 634 nsew signal input -flabel metal2 s 15628 -400 15684 240 0 FreeSans 560 90 0 0 wbs_dat_i[5] -port 635 nsew signal input -flabel metal2 s 17401 -400 17457 240 0 FreeSans 560 90 0 0 wbs_dat_i[6] -port 636 nsew signal input -flabel metal2 s 19174 -400 19230 240 0 FreeSans 560 90 0 0 wbs_dat_i[7] -port 637 nsew signal input -flabel metal2 s 20947 -400 21003 240 0 FreeSans 560 90 0 0 wbs_dat_i[8] -port 638 nsew signal input -flabel metal2 s 22720 -400 22776 240 0 FreeSans 560 90 0 0 wbs_dat_i[9] -port 639 nsew signal input -flabel metal2 s 4990 -400 5046 240 0 FreeSans 560 90 0 0 wbs_dat_o[0] -port 640 nsew signal tristate -flabel metal2 s 25084 -400 25140 240 0 FreeSans 560 90 0 0 wbs_dat_o[10] -port 641 nsew signal tristate -flabel metal2 s 26857 -400 26913 240 0 FreeSans 560 90 0 0 wbs_dat_o[11] -port 642 nsew signal tristate -flabel metal2 s 28630 -400 28686 240 0 FreeSans 560 90 0 0 wbs_dat_o[12] -port 643 nsew signal tristate -flabel metal2 s 30403 -400 30459 240 0 FreeSans 560 90 0 0 wbs_dat_o[13] -port 644 nsew signal tristate -flabel metal2 s 32176 -400 32232 240 0 FreeSans 560 90 0 0 wbs_dat_o[14] -port 645 nsew signal tristate -flabel metal2 s 33949 -400 34005 240 0 FreeSans 560 90 0 0 wbs_dat_o[15] -port 646 nsew signal tristate -flabel metal2 s 35722 -400 35778 240 0 FreeSans 560 90 0 0 wbs_dat_o[16] -port 647 nsew signal tristate -flabel metal2 s 37495 -400 37551 240 0 FreeSans 560 90 0 0 wbs_dat_o[17] -port 648 nsew signal tristate -flabel metal2 s 39268 -400 39324 240 0 FreeSans 560 90 0 0 wbs_dat_o[18] -port 649 nsew signal tristate -flabel metal2 s 41041 -400 41097 240 0 FreeSans 560 90 0 0 wbs_dat_o[19] -port 650 nsew signal tristate -flabel metal2 s 7354 -400 7410 240 0 FreeSans 560 90 0 0 wbs_dat_o[1] -port 651 nsew signal tristate -flabel metal2 s 42814 -400 42870 240 0 FreeSans 560 90 0 0 wbs_dat_o[20] -port 652 nsew signal tristate -flabel metal2 s 44587 -400 44643 240 0 FreeSans 560 90 0 0 wbs_dat_o[21] -port 653 nsew signal tristate -flabel metal2 s 46360 -400 46416 240 0 FreeSans 560 90 0 0 wbs_dat_o[22] -port 654 nsew signal tristate -flabel metal2 s 48133 -400 48189 240 0 FreeSans 560 90 0 0 wbs_dat_o[23] -port 655 nsew signal tristate -flabel metal2 s 49906 -400 49962 240 0 FreeSans 560 90 0 0 wbs_dat_o[24] -port 656 nsew signal tristate -flabel metal2 s 51679 -400 51735 240 0 FreeSans 560 90 0 0 wbs_dat_o[25] -port 657 nsew signal tristate -flabel metal2 s 53452 -400 53508 240 0 FreeSans 560 90 0 0 wbs_dat_o[26] -port 658 nsew signal tristate -flabel metal2 s 55225 -400 55281 240 0 FreeSans 560 90 0 0 wbs_dat_o[27] -port 659 nsew signal tristate -flabel metal2 s 56998 -400 57054 240 0 FreeSans 560 90 0 0 wbs_dat_o[28] -port 660 nsew signal tristate -flabel metal2 s 58771 -400 58827 240 0 FreeSans 560 90 0 0 wbs_dat_o[29] -port 661 nsew signal tristate -flabel metal2 s 9718 -400 9774 240 0 FreeSans 560 90 0 0 wbs_dat_o[2] -port 662 nsew signal tristate -flabel metal2 s 60544 -400 60600 240 0 FreeSans 560 90 0 0 wbs_dat_o[30] -port 663 nsew signal tristate -flabel metal2 s 62317 -400 62373 240 0 FreeSans 560 90 0 0 wbs_dat_o[31] -port 664 nsew signal tristate -flabel metal2 s 12082 -400 12138 240 0 FreeSans 560 90 0 0 wbs_dat_o[3] -port 665 nsew signal tristate -flabel metal2 s 14446 -400 14502 240 0 FreeSans 560 90 0 0 wbs_dat_o[4] -port 666 nsew signal tristate -flabel metal2 s 16219 -400 16275 240 0 FreeSans 560 90 0 0 wbs_dat_o[5] -port 667 nsew signal tristate -flabel metal2 s 17992 -400 18048 240 0 FreeSans 560 90 0 0 wbs_dat_o[6] -port 668 nsew signal tristate -flabel metal2 s 19765 -400 19821 240 0 FreeSans 560 90 0 0 wbs_dat_o[7] -port 669 nsew signal tristate -flabel metal2 s 21538 -400 21594 240 0 FreeSans 560 90 0 0 wbs_dat_o[8] -port 670 nsew signal tristate -flabel metal2 s 23311 -400 23367 240 0 FreeSans 560 90 0 0 wbs_dat_o[9] -port 671 nsew signal tristate -flabel metal2 s 5581 -400 5637 240 0 FreeSans 560 90 0 0 wbs_sel_i[0] -port 672 nsew signal input -flabel metal2 s 7945 -400 8001 240 0 FreeSans 560 90 0 0 wbs_sel_i[1] -port 673 nsew signal input -flabel metal2 s 10309 -400 10365 240 0 FreeSans 560 90 0 0 wbs_sel_i[2] -port 674 nsew signal input -flabel metal2 s 12673 -400 12729 240 0 FreeSans 560 90 0 0 wbs_sel_i[3] -port 675 nsew signal input -flabel metal2 s 2626 -400 2682 240 0 FreeSans 560 90 0 0 wbs_stb_i -port 676 nsew signal input -flabel metal2 s 3217 -400 3273 240 0 FreeSans 560 90 0 0 wbs_we_i -port 677 nsew signal input -<< properties >> -string FIXED_BBOX 0 0 292000 352000 -<< end >> diff --git a/mag/vccd1_connection.mag b/mag/vccd1_connection.mag new file mode 100644 index 0000000..24b29b0 --- /dev/null +++ b/mag/vccd1_connection.mag @@ -0,0 +1,26 @@ +magic +tech sky130A +magscale 1 2 +timestamp 1520178628 +<< checkpaint >> +rect -1239 -1240 10328 16120 +<< metal3 >> +rect 21 14832 9060 14860 +rect 21 10128 29 14832 +rect 4013 10128 9060 14832 +rect 21 10060 9060 10128 +rect 4501 9742 9063 9770 +rect 4501 5198 4509 9742 +rect 8493 5198 9063 9742 +rect 4501 5108 9063 5198 +rect 21 4787 9068 4809 +rect 21 83 29 4787 +rect 4013 83 9068 4787 +rect 21 20 9068 83 +<< via3 >> +rect 29 10128 4013 14832 +rect 4509 5198 8493 9742 +rect 29 83 4013 4787 +<< properties >> +string FIXED_BBOX 0 0 9080 14920 +<< end >> diff --git a/mag/vssd1_connection.mag b/mag/vssd1_connection.mag new file mode 100644 index 0000000..352be8f --- /dev/null +++ b/mag/vssd1_connection.mag @@ -0,0 +1,26 @@ +magic +tech sky130A +magscale 1 2 +timestamp 1520179892 +<< checkpaint >> +rect -1239 -1240 10328 16120 +<< metal3 >> +rect 4501 14832 9060 14860 +rect 4501 10128 4509 14832 +rect 8493 10128 9060 14832 +rect 4501 10060 9060 10128 +rect 21 9742 9063 9770 +rect 21 5198 29 9742 +rect 4013 5198 9063 9742 +rect 21 5108 9063 5198 +rect 4501 4787 9068 4809 +rect 4501 83 4509 4787 +rect 8493 83 9068 4787 +rect 4501 20 9068 83 +<< via3 >> +rect 4509 10128 8493 14832 +rect 29 5198 4013 9742 +rect 4509 83 8493 4787 +<< properties >> +string FIXED_BBOX 0 0 9080 14920 +<< end >> diff --git a/netgen/comp.out b/netgen/comp.out deleted file mode 100644 index 42163df..0000000 --- a/netgen/comp.out +++ /dev/null @@ -1,2097 +0,0 @@ -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. -Equate elements: no current cell. - -Class sky130_fd_sc_hvl__buf_8(0): Merged 18 parallel devices. -Class sky130_fd_sc_hvl__buf_8(1): Merged 18 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hvl__buf_8 |Circuit 2: sky130_fd_sc_hvl__buf_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_g5v0d10v5 (2) |sky130_fd_pr__nfet_g5v0d10v5 (2) -sky130_fd_pr__pfet_g5v0d10v5 (2) |sky130_fd_pr__pfet_g5v0d10v5 (2) -Number of devices: 4 |Number of devices: 4 -Number of nets: 7 |Number of nets: 7 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hvl__buf_8 |Circuit 2: sky130_fd_sc_hvl__buf_8 --------------------------------------------|------------------------------------------- -A |A -VPWR |VPWR -VPB |VPB -X |X -VGND |VGND -VNB |VNB ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hvl__buf_8 and sky130_fd_sc_hvl__buf_8 are equivalent. - -Subcircuit summary: -Circuit 1: sky130_fd_sc_hvl__schmittbuf_1 |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1 --------------------------------------------|------------------------------------------- -sky130_fd_pr__nfet_g5v0d10v5 (4) |sky130_fd_pr__nfet_g5v0d10v5 (4) -sky130_fd_pr__pfet_g5v0d10v5 (4) |sky130_fd_pr__pfet_g5v0d10v5 (4) -sky130_fd_pr__res_generic_nd__hv (1) |sky130_fd_pr__res_generic_nd__hv (1) -sky130_fd_pr__res_generic_pd__hv (1) |sky130_fd_pr__res_generic_pd__hv (1) -Number of devices: 10 |Number of devices: 10 -Number of nets: 11 |Number of nets: 11 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hvl__schmittbuf_1 |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1 --------------------------------------------|------------------------------------------- -A |A -VPB |VPB -VNB |VNB -VGND |VGND -VPWR |VPWR -X |X ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hvl__schmittbuf_1 and sky130_fd_sc_hvl__schmittbuf_1 are equivalent. - -Class sky130_fd_sc_hvl__inv_8(0): Merged 14 parallel devices. -Class sky130_fd_sc_hvl__inv_8(1): Merged 14 parallel devices. -Subcircuit summary: -Circuit 1: sky130_fd_sc_hvl__inv_8 |Circuit 2: sky130_fd_sc_hvl__inv_8 --------------------------------------------|------------------------------------------- -sky130_fd_pr__pfet_g5v0d10v5 (1) |sky130_fd_pr__pfet_g5v0d10v5 (1) -sky130_fd_pr__nfet_g5v0d10v5 (1) |sky130_fd_pr__nfet_g5v0d10v5 (1) -Number of devices: 2 |Number of devices: 2 -Number of nets: 6 |Number of nets: 6 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: sky130_fd_sc_hvl__inv_8 |Circuit 2: sky130_fd_sc_hvl__inv_8 --------------------------------------------|------------------------------------------- -VPWR |VPWR -VPB |VPB -VGND |VGND -VNB |VNB -A |A -Y |Y ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes sky130_fd_sc_hvl__inv_8 and sky130_fd_sc_hvl__inv_8 are equivalent. -Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_2_W5U4AW in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_TGFUGS in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_3YBPVB in circuit example_por (0)(4 instances) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPXE in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_PKVMTM in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_1_WRT4AW in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YEUEBV in circuit example_por (0)(1 instance) -Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPBG in circuit example_por (0)(1 instance) - -Class example_por(0): Merged 20 parallel devices. -Class example_por(0): Merged 24 series devices. -Subcircuit summary: -Circuit 1: example_por |Circuit 2: example_por --------------------------------------------|------------------------------------------- -sky130_fd_pr__cap_mim_m3_2 (1) |sky130_fd_pr__cap_mim_m3_2 (1) -sky130_fd_sc_hvl__buf_8 (2) |sky130_fd_sc_hvl__buf_8 (2) -sky130_fd_pr__pfet_g5v0d10v5 (8) |sky130_fd_pr__pfet_g5v0d10v5 (8) -sky130_fd_pr__nfet_g5v0d10v5 (3) |sky130_fd_pr__nfet_g5v0d10v5 (3) -sky130_fd_pr__res_xhigh_po_0p69 (3) |sky130_fd_pr__res_xhigh_po_0p69 (3) -sky130_fd_sc_hvl__schmittbuf_1 (1) |sky130_fd_sc_hvl__schmittbuf_1 (1) -sky130_fd_pr__cap_mim_m3_1 (1) |sky130_fd_pr__cap_mim_m3_1 (1) -sky130_fd_sc_hvl__inv_8 (1) |sky130_fd_sc_hvl__inv_8 (1) -Number of devices: 20 |Number of devices: 20 -Number of nets: 16 |Number of nets: 16 ---------------------------------------------------------------------------------------- -Circuits match uniquely. -Netlists match uniquely. - -Subcircuit pins: -Circuit 1: example_por |Circuit 2: example_por --------------------------------------------|------------------------------------------- -vdd3v3 |vdd3v3 -porb_h |porb_h -porb_l |porb_l -por_l |por_l -vdd1v8 |vdd1v8 -vss |vss ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes example_por and example_por are equivalent. -Flattening unmatched subcell user_analog_proj_example in circuit user_analog_project_wrapper (0)(1 instance) - -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[0] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[10] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[11] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[12] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[13] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[14] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[15] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[16] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[17] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[1] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[2] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[4] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[5] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[6] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[8] -Cell user_analog_project_wrapper(0) disconnected node: gpio_analog[9] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[0] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[10] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[11] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[12] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[13] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[14] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[15] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[16] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[17] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[1] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[2] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[3] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[4] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[5] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[6] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[7] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[8] -Cell user_analog_project_wrapper(0) disconnected node: gpio_noesd[9] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[0] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[10] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[1] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[2] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[3] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[7] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[8] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[9] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[5] -Cell user_analog_project_wrapper(0) disconnected node: io_analog[6] -Cell user_analog_project_wrapper(0) disconnected node: io_in[0] -Cell user_analog_project_wrapper(0) disconnected node: io_in[10] -Cell user_analog_project_wrapper(0) disconnected node: io_in[11] -Cell user_analog_project_wrapper(0) disconnected node: io_in[12] -Cell user_analog_project_wrapper(0) disconnected node: io_in[13] -Cell user_analog_project_wrapper(0) disconnected node: io_in[14] -Cell user_analog_project_wrapper(0) disconnected node: io_in[15] -Cell user_analog_project_wrapper(0) disconnected node: io_in[16] -Cell user_analog_project_wrapper(0) disconnected node: io_in[17] -Cell user_analog_project_wrapper(0) disconnected node: io_in[18] -Cell user_analog_project_wrapper(0) disconnected node: io_in[19] -Cell user_analog_project_wrapper(0) disconnected node: io_in[1] -Cell user_analog_project_wrapper(0) disconnected node: io_in[20] -Cell user_analog_project_wrapper(0) disconnected node: io_in[21] -Cell user_analog_project_wrapper(0) disconnected node: io_in[22] -Cell user_analog_project_wrapper(0) disconnected node: io_in[23] -Cell user_analog_project_wrapper(0) disconnected node: io_in[24] -Cell user_analog_project_wrapper(0) disconnected node: io_in[25] -Cell user_analog_project_wrapper(0) disconnected node: io_in[26] -Cell user_analog_project_wrapper(0) disconnected node: io_in[2] -Cell user_analog_project_wrapper(0) disconnected node: io_in[3] -Cell user_analog_project_wrapper(0) disconnected node: io_in[4] -Cell user_analog_project_wrapper(0) disconnected node: io_in[5] -Cell user_analog_project_wrapper(0) disconnected node: io_in[6] -Cell user_analog_project_wrapper(0) disconnected node: io_in[7] -Cell user_analog_project_wrapper(0) disconnected node: io_in[8] -Cell user_analog_project_wrapper(0) disconnected node: io_in[9] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[0] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[10] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[11] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[12] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[13] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[14] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[15] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[16] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[17] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[18] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[19] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[1] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[20] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[21] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[22] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[23] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[24] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[25] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[26] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[2] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[3] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[4] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[5] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[6] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[7] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[8] -Cell user_analog_project_wrapper(0) disconnected node: io_in_3v3[9] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[0] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[10] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[13] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[14] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[17] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[18] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[19] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[1] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[20] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[21] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[22] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[23] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[24] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[25] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[26] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[2] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[3] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[4] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[5] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[6] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[7] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[8] -Cell user_analog_project_wrapper(0) disconnected node: io_oeb[9] -Cell user_analog_project_wrapper(0) disconnected node: io_out[0] -Cell user_analog_project_wrapper(0) disconnected node: io_out[10] -Cell user_analog_project_wrapper(0) disconnected node: io_out[13] -Cell user_analog_project_wrapper(0) disconnected node: io_out[14] -Cell user_analog_project_wrapper(0) disconnected node: io_out[17] -Cell user_analog_project_wrapper(0) disconnected node: io_out[18] -Cell user_analog_project_wrapper(0) disconnected node: io_out[19] -Cell user_analog_project_wrapper(0) disconnected node: io_out[1] -Cell user_analog_project_wrapper(0) disconnected node: io_out[20] -Cell user_analog_project_wrapper(0) disconnected node: io_out[21] -Cell user_analog_project_wrapper(0) disconnected node: io_out[22] -Cell user_analog_project_wrapper(0) disconnected node: io_out[23] -Cell user_analog_project_wrapper(0) disconnected node: io_out[24] -Cell user_analog_project_wrapper(0) disconnected node: io_out[25] -Cell user_analog_project_wrapper(0) disconnected node: io_out[26] -Cell user_analog_project_wrapper(0) disconnected node: io_out[2] -Cell user_analog_project_wrapper(0) disconnected node: io_out[3] -Cell user_analog_project_wrapper(0) disconnected node: io_out[4] -Cell user_analog_project_wrapper(0) disconnected node: io_out[5] -Cell user_analog_project_wrapper(0) disconnected node: io_out[6] -Cell user_analog_project_wrapper(0) disconnected node: io_out[7] -Cell user_analog_project_wrapper(0) disconnected node: io_out[8] -Cell user_analog_project_wrapper(0) disconnected node: io_out[9] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[0] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[100] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[101] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[102] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[103] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[104] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[105] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[106] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[107] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[108] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[109] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[10] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[110] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[111] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[112] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[113] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[114] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[115] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[116] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[117] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[118] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[119] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[11] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[120] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[121] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[122] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[123] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[124] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[125] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[126] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[127] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[12] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[13] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[14] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[15] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[16] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[17] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[18] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[19] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[1] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[20] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[21] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[22] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[23] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[24] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[25] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[26] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[27] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[28] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[29] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[2] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[30] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[31] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[32] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[33] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[34] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[35] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[36] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[37] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[38] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[39] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[3] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[40] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[41] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[42] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[43] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[44] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[45] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[46] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[47] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[48] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[49] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[4] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[50] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[51] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[52] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[53] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[54] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[55] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[56] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[57] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[58] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[59] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[5] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[60] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[61] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[62] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[63] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[64] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[65] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[66] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[67] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[68] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[69] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[6] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[70] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[71] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[72] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[73] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[74] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[75] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[76] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[77] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[78] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[79] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[7] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[80] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[81] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[82] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[83] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[84] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[85] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[86] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[87] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[88] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[89] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[8] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[90] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[91] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[92] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[93] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[94] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[95] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[96] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[97] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[98] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[99] -Cell user_analog_project_wrapper(0) disconnected node: la_data_in[9] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[0] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[100] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[101] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[102] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[103] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[104] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[105] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[106] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[107] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[108] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[109] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[10] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[110] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[111] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[112] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[113] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[114] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[115] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[116] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[117] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[118] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[119] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[11] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[120] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[121] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[122] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[123] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[124] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[125] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[126] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[127] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[12] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[13] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[14] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[15] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[16] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[17] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[18] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[19] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[1] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[20] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[21] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[22] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[23] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[24] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[25] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[26] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[27] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[28] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[29] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[2] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[30] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[31] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[32] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[33] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[34] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[35] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[36] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[37] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[38] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[39] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[3] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[40] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[41] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[42] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[43] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[44] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[45] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[46] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[47] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[48] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[49] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[4] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[50] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[51] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[52] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[53] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[54] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[55] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[56] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[57] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[58] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[59] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[5] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[60] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[61] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[62] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[63] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[64] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[65] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[66] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[67] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[68] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[69] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[6] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[70] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[71] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[72] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[73] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[74] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[75] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[76] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[77] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[78] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[79] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[7] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[80] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[81] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[82] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[83] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[84] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[85] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[86] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[87] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[88] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[89] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[8] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[90] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[91] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[92] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[93] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[94] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[95] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[96] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[97] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[98] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[99] -Cell user_analog_project_wrapper(0) disconnected node: la_data_out[9] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[0] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[100] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[101] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[102] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[103] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[104] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[105] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[106] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[107] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[108] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[109] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[10] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[110] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[111] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[112] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[113] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[114] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[115] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[116] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[117] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[118] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[119] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[11] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[120] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[121] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[122] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[123] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[124] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[125] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[126] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[127] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[12] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[13] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[14] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[15] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[16] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[17] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[18] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[19] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[1] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[20] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[21] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[22] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[23] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[24] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[25] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[26] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[27] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[28] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[29] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[2] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[30] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[31] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[32] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[33] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[34] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[35] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[36] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[37] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[38] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[39] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[3] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[40] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[41] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[42] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[43] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[44] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[45] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[46] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[47] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[48] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[49] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[4] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[50] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[51] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[52] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[53] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[54] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[55] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[56] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[57] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[58] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[59] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[5] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[60] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[61] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[62] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[63] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[64] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[65] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[66] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[67] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[68] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[69] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[6] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[70] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[71] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[72] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[73] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[74] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[75] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[76] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[77] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[78] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[79] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[7] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[80] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[81] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[82] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[83] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[84] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[85] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[86] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[87] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[88] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[89] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[8] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[90] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[91] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[92] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[93] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[94] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[95] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[96] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[97] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[98] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[99] -Cell user_analog_project_wrapper(0) disconnected node: la_oenb[9] -Cell user_analog_project_wrapper(0) disconnected node: user_clock2 -Cell user_analog_project_wrapper(0) disconnected node: user_irq[0] -Cell user_analog_project_wrapper(0) disconnected node: user_irq[1] -Cell user_analog_project_wrapper(0) disconnected node: user_irq[2] -Cell user_analog_project_wrapper(0) disconnected node: vccd2 -Cell user_analog_project_wrapper(0) disconnected node: vdda2 -Cell user_analog_project_wrapper(0) disconnected node: vssa2 -Cell user_analog_project_wrapper(0) disconnected node: vssd2 -Cell user_analog_project_wrapper(0) disconnected node: wb_clk_i -Cell user_analog_project_wrapper(0) disconnected node: wb_rst_i -Cell user_analog_project_wrapper(0) disconnected node: wbs_ack_o -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[10] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[11] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[12] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[13] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[14] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[15] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[16] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[17] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[18] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[19] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[20] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[21] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[22] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[23] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[24] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[25] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[26] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[27] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[28] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[29] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[30] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[31] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[4] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[5] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[6] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[7] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[8] -Cell user_analog_project_wrapper(0) disconnected node: wbs_adr_i[9] -Cell user_analog_project_wrapper(0) disconnected node: wbs_cyc_i -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[10] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[11] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[12] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[13] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[14] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[15] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[16] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[17] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[18] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[19] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[20] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[21] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[22] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[23] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[24] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[25] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[26] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[27] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[28] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[29] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[30] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[31] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[4] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[5] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[6] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[7] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[8] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_i[9] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[10] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[11] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[12] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[13] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[14] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[15] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[16] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[17] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[18] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[19] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[20] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[21] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[22] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[23] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[24] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[25] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[26] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[27] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[28] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[29] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[30] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[31] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[4] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[5] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[6] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[7] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[8] -Cell user_analog_project_wrapper(0) disconnected node: wbs_dat_o[9] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[0] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[1] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[2] -Cell user_analog_project_wrapper(0) disconnected node: wbs_sel_i[3] -Cell user_analog_project_wrapper(0) disconnected node: wbs_stb_i -Cell user_analog_project_wrapper(0) disconnected node: wbs_we_i -Cell user_analog_project_wrapper(1) disconnected node: vdda2 -Cell user_analog_project_wrapper(1) disconnected node: vssa2 -Cell user_analog_project_wrapper(1) disconnected node: vccd2 -Cell user_analog_project_wrapper(1) disconnected node: vssd2 -Cell user_analog_project_wrapper(1) disconnected node: wb_clk_i -Cell user_analog_project_wrapper(1) disconnected node: wb_rst_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_stb_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_cyc_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_we_i -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_sel_i[0] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[31] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[30] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[29] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[28] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[27] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[26] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[25] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[24] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[23] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[22] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[21] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[20] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[19] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[18] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[17] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[16] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[15] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[14] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[13] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[12] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[11] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[10] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[9] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[8] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[7] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[6] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[5] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[4] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_i[0] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[31] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[30] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[29] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[28] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[27] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[26] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[25] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[24] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[23] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[22] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[21] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[20] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[19] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[18] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[17] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[16] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[15] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[14] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[13] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[12] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[11] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[10] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[9] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[8] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[7] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[6] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[5] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[4] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_adr_i[0] -Cell user_analog_project_wrapper(1) disconnected node: wbs_ack_o -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[31] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[30] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[29] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[28] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[27] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[26] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[25] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[24] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[23] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[22] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[21] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[20] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[19] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[18] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[17] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[16] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[15] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[14] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[13] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[12] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[11] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[10] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[9] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[8] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[7] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[6] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[5] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[4] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[3] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[2] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[1] -Cell user_analog_project_wrapper(1) disconnected node: wbs_dat_o[0] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[127] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[126] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[125] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[124] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[123] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[122] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[121] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[120] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[119] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[118] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[117] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[116] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[115] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[114] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[113] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[112] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[111] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[110] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[109] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[108] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[107] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[106] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[105] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[104] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[103] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[102] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[101] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[100] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[99] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[98] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[97] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[96] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[95] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[94] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[93] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[92] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[91] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[90] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[89] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[88] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[87] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[86] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[85] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[84] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[83] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[82] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[81] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[80] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[79] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[78] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[77] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[76] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[75] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[74] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[73] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[72] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[71] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[70] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[69] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[68] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[67] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[66] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[65] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[64] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[63] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[62] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[61] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[60] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[59] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[58] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[57] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[56] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[55] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[54] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[53] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[52] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[51] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[50] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[49] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[48] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[47] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[46] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[45] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[44] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[43] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[42] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[41] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[40] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[39] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[38] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[37] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[36] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[35] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[34] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[33] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[32] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[31] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[30] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[29] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[28] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[27] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[26] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[25] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[24] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[23] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[22] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[21] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[20] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[19] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[18] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[17] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[16] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[15] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[14] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[13] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[12] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[11] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[10] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[9] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[8] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[7] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[6] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[5] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[4] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[3] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[2] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[1] -Cell user_analog_project_wrapper(1) disconnected node: la_data_in[0] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[127] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[126] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[125] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[124] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[123] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[122] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[121] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[120] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[119] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[118] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[117] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[116] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[115] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[114] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[113] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[112] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[111] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[110] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[109] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[108] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[107] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[106] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[105] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[104] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[103] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[102] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[101] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[100] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[99] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[98] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[97] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[96] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[95] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[94] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[93] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[92] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[91] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[90] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[89] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[88] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[87] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[86] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[85] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[84] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[83] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[82] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[81] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[80] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[79] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[78] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[77] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[76] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[75] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[74] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[73] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[72] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[71] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[70] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[69] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[68] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[67] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[66] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[65] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[64] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[63] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[62] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[61] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[60] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[59] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[58] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[57] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[56] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[55] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[54] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[53] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[52] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[51] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[50] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[49] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[48] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[47] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[46] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[45] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[44] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[43] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[42] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[41] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[40] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[39] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[38] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[37] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[36] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[35] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[34] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[33] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[32] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[31] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[30] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[29] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[28] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[27] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[26] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[25] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[24] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[23] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[22] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[21] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[20] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[19] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[18] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[17] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[16] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[15] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[14] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[13] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[12] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[11] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[10] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[9] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[8] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[7] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[6] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[5] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[4] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[3] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[2] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[1] -Cell user_analog_project_wrapper(1) disconnected node: la_data_out[0] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[127] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[126] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[125] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[124] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[123] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[122] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[121] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[120] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[119] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[118] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[117] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[116] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[115] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[114] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[113] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[112] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[111] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[110] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[109] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[108] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[107] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[106] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[105] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[104] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[103] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[102] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[101] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[100] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[99] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[98] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[97] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[96] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[95] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[94] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[93] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[92] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[91] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[90] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[89] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[88] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[87] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[86] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[85] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[84] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[83] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[82] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[81] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[80] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[79] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[78] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[77] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[76] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[75] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[74] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[73] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[72] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[71] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[70] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[69] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[68] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[67] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[66] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[65] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[64] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[63] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[62] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[61] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[60] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[59] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[58] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[57] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[56] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[55] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[54] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[53] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[52] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[51] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[50] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[49] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[48] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[47] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[46] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[45] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[44] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[43] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[42] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[41] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[40] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[39] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[38] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[37] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[36] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[35] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[34] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[33] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[32] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[31] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[30] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[29] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[28] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[27] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[26] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[25] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[24] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[23] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[22] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[21] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[20] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[19] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[18] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[17] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[16] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[15] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[14] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[13] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[12] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[11] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[10] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[9] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[8] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[7] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[6] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[5] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[4] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[3] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[2] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[1] -Cell user_analog_project_wrapper(1) disconnected node: la_oenb[0] -Cell user_analog_project_wrapper(1) disconnected node: io_in[26] -Cell user_analog_project_wrapper(1) disconnected node: io_in[25] -Cell user_analog_project_wrapper(1) disconnected node: io_in[24] -Cell user_analog_project_wrapper(1) disconnected node: io_in[23] -Cell user_analog_project_wrapper(1) disconnected node: io_in[22] -Cell user_analog_project_wrapper(1) disconnected node: io_in[21] -Cell user_analog_project_wrapper(1) disconnected node: io_in[20] -Cell user_analog_project_wrapper(1) disconnected node: io_in[19] -Cell user_analog_project_wrapper(1) disconnected node: io_in[18] -Cell user_analog_project_wrapper(1) disconnected node: io_in[17] -Cell user_analog_project_wrapper(1) disconnected node: io_in[16] -Cell user_analog_project_wrapper(1) disconnected node: io_in[15] -Cell user_analog_project_wrapper(1) disconnected node: io_in[14] -Cell user_analog_project_wrapper(1) disconnected node: io_in[13] -Cell user_analog_project_wrapper(1) disconnected node: io_in[12] -Cell user_analog_project_wrapper(1) disconnected node: io_in[11] -Cell user_analog_project_wrapper(1) disconnected node: io_in[10] -Cell user_analog_project_wrapper(1) disconnected node: io_in[9] -Cell user_analog_project_wrapper(1) disconnected node: io_in[8] -Cell user_analog_project_wrapper(1) disconnected node: io_in[7] -Cell user_analog_project_wrapper(1) disconnected node: io_in[6] -Cell user_analog_project_wrapper(1) disconnected node: io_in[5] -Cell user_analog_project_wrapper(1) disconnected node: io_in[4] -Cell user_analog_project_wrapper(1) disconnected node: io_in[3] -Cell user_analog_project_wrapper(1) disconnected node: io_in[2] -Cell user_analog_project_wrapper(1) disconnected node: io_in[1] -Cell user_analog_project_wrapper(1) disconnected node: io_in[0] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[26] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[25] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[24] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[23] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[22] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[21] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[20] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[19] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[18] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[17] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[16] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[15] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[14] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[13] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[12] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[11] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[10] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[9] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[8] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[7] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[6] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[5] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[4] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[3] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[2] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[1] -Cell user_analog_project_wrapper(1) disconnected node: io_in_3v3[0] -Cell user_analog_project_wrapper(1) disconnected node: io_out[26] -Cell user_analog_project_wrapper(1) disconnected node: io_out[25] -Cell user_analog_project_wrapper(1) disconnected node: io_out[24] -Cell user_analog_project_wrapper(1) disconnected node: io_out[23] -Cell user_analog_project_wrapper(1) disconnected node: io_out[22] -Cell user_analog_project_wrapper(1) disconnected node: io_out[21] -Cell user_analog_project_wrapper(1) disconnected node: io_out[20] -Cell user_analog_project_wrapper(1) disconnected node: io_out[19] -Cell user_analog_project_wrapper(1) disconnected node: io_out[18] -Cell user_analog_project_wrapper(1) disconnected node: io_out[17] -Cell user_analog_project_wrapper(1) disconnected node: io_out[14] -Cell user_analog_project_wrapper(1) disconnected node: io_out[13] -Cell user_analog_project_wrapper(1) disconnected node: io_out[10] -Cell user_analog_project_wrapper(1) disconnected node: io_out[9] -Cell user_analog_project_wrapper(1) disconnected node: io_out[8] -Cell user_analog_project_wrapper(1) disconnected node: io_out[7] -Cell user_analog_project_wrapper(1) disconnected node: io_out[6] -Cell user_analog_project_wrapper(1) disconnected node: io_out[5] -Cell user_analog_project_wrapper(1) disconnected node: io_out[4] -Cell user_analog_project_wrapper(1) disconnected node: io_out[3] -Cell user_analog_project_wrapper(1) disconnected node: io_out[2] -Cell user_analog_project_wrapper(1) disconnected node: io_out[1] -Cell user_analog_project_wrapper(1) disconnected node: io_out[0] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[26] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[25] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[24] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[23] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[22] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[21] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[20] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[19] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[18] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[17] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[14] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[13] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[10] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[9] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[8] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[7] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[6] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[5] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[4] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[3] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[2] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[1] -Cell user_analog_project_wrapper(1) disconnected node: io_oeb[0] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[17] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[16] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[15] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[14] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[13] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[12] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[11] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[10] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[9] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[8] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[6] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[5] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[4] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[2] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[1] -Cell user_analog_project_wrapper(1) disconnected node: gpio_analog[0] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[17] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[16] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[15] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[14] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[13] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[12] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[11] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[10] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[9] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[8] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[7] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[6] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[5] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[4] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[3] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[2] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[1] -Cell user_analog_project_wrapper(1) disconnected node: gpio_noesd[0] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[10] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[9] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[8] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[7] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[6] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[5] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[3] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[2] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[1] -Cell user_analog_project_wrapper(1) disconnected node: io_analog[0] -Cell user_analog_project_wrapper(1) disconnected node: user_clock2 -Cell user_analog_project_wrapper(1) disconnected node: user_irq[2] -Cell user_analog_project_wrapper(1) disconnected node: user_irq[1] -Cell user_analog_project_wrapper(1) disconnected node: user_irq[0] -Subcircuit summary: -Circuit 1: user_analog_project_wrapper |Circuit 2: user_analog_project_wrapper --------------------------------------------|------------------------------------------- -example_por (2) |example_por (2) -sky130_fd_pr__res_generic_m3 (10) |sky130_fd_pr__res_generic_m3 (10) -Number of devices: 12 |Number of devices: 12 -Number of nets: 21 |Number of nets: 21 ---------------------------------------------------------------------------------------- -Resolving automorphisms by property value. -Resolving automorphisms by pin name. -Netlists match uniquely. -Circuits match correctly. - -Subcircuit pins: -Circuit 1: user_analog_project_wrapper |Circuit 2: user_analog_project_wrapper --------------------------------------------|------------------------------------------- -vssd1 |vssd1 -vssa1 |vssa1 -vccd1 |vccd1 -io_analog[4] |io_analog[4] -vdda1 |vdda1 -gpio_analog[3] |gpio_analog[3] -io_out[11] |io_out[11] -io_out[12] |io_out[12] -gpio_analog[7] |gpio_analog[7] -io_out[15] |io_out[15] -io_out[16] |io_out[16] -io_clamp_low[2] |io_clamp_low[2] -io_clamp_high[2] |io_clamp_high[2] -io_clamp_low[1] |io_clamp_low[1] -io_clamp_high[1] |io_clamp_high[1] -io_clamp_low[0] |io_clamp_low[0] -io_clamp_high[0] |io_clamp_high[0] -io_oeb[12] |io_oeb[12] -io_oeb[16] |io_oeb[16] -io_oeb[11] |io_oeb[11] -io_oeb[15] |io_oeb[15] -gpio_analog[0] |gpio_analog[0] -gpio_analog[10] |gpio_analog[10] -gpio_analog[11] |gpio_analog[11] -gpio_analog[12] |gpio_analog[12] -gpio_analog[13] |gpio_analog[13] -gpio_analog[14] |gpio_analog[14] -gpio_analog[15] |gpio_analog[15] -gpio_analog[16] |gpio_analog[16] -gpio_analog[17] |gpio_analog[17] -gpio_analog[1] |gpio_analog[1] -gpio_analog[2] |gpio_analog[2] -gpio_analog[4] |gpio_analog[4] -gpio_analog[5] |gpio_analog[5] -gpio_analog[6] |gpio_analog[6] -gpio_analog[8] |gpio_analog[8] -gpio_analog[9] |gpio_analog[9] -gpio_noesd[0] |gpio_noesd[0] -gpio_noesd[10] |gpio_noesd[10] -gpio_noesd[11] |gpio_noesd[11] -gpio_noesd[12] |gpio_noesd[12] -gpio_noesd[13] |gpio_noesd[13] -gpio_noesd[14] |gpio_noesd[14] -gpio_noesd[15] |gpio_noesd[15] -gpio_noesd[16] |gpio_noesd[16] -gpio_noesd[17] |gpio_noesd[17] -gpio_noesd[1] |gpio_noesd[1] -gpio_noesd[2] |gpio_noesd[2] -gpio_noesd[3] |gpio_noesd[3] -gpio_noesd[4] |gpio_noesd[4] -gpio_noesd[5] |gpio_noesd[5] -gpio_noesd[6] |gpio_noesd[6] -gpio_noesd[7] |gpio_noesd[7] -gpio_noesd[8] |gpio_noesd[8] -gpio_noesd[9] |gpio_noesd[9] -io_analog[0] |io_analog[0] -io_analog[10] |io_analog[10] -io_analog[1] |io_analog[1] -io_analog[2] |io_analog[2] -io_analog[3] |io_analog[3] -io_analog[7] |io_analog[7] -io_analog[8] |io_analog[8] -io_analog[9] |io_analog[9] -io_analog[5] |io_analog[5] -io_analog[6] |io_analog[6] -io_in[0] |io_in[0] -io_in[10] |io_in[10] -io_in[11] |io_in[11] -io_in[12] |io_in[12] -io_in[13] |io_in[13] -io_in[14] |io_in[14] -io_in[15] |io_in[15] -io_in[16] |io_in[16] -io_in[17] |io_in[17] -io_in[18] |io_in[18] -io_in[19] |io_in[19] -io_in[1] |io_in[1] -io_in[20] |io_in[20] -io_in[21] |io_in[21] -io_in[22] |io_in[22] -io_in[23] |io_in[23] -io_in[24] |io_in[24] -io_in[25] |io_in[25] -io_in[26] |io_in[26] -io_in[2] |io_in[2] -io_in[3] |io_in[3] -io_in[4] |io_in[4] -io_in[5] |io_in[5] -io_in[6] |io_in[6] -io_in[7] |io_in[7] -io_in[8] |io_in[8] -io_in[9] |io_in[9] -io_in_3v3[0] |io_in_3v3[0] -io_in_3v3[10] |io_in_3v3[10] -io_in_3v3[11] |io_in_3v3[11] -io_in_3v3[12] |io_in_3v3[12] -io_in_3v3[13] |io_in_3v3[13] -io_in_3v3[14] |io_in_3v3[14] -io_in_3v3[15] |io_in_3v3[15] -io_in_3v3[16] |io_in_3v3[16] -io_in_3v3[17] |io_in_3v3[17] -io_in_3v3[18] |io_in_3v3[18] -io_in_3v3[19] |io_in_3v3[19] -io_in_3v3[1] |io_in_3v3[1] -io_in_3v3[20] |io_in_3v3[20] -io_in_3v3[21] |io_in_3v3[21] -io_in_3v3[22] |io_in_3v3[22] -io_in_3v3[23] |io_in_3v3[23] -io_in_3v3[24] |io_in_3v3[24] -io_in_3v3[25] |io_in_3v3[25] -io_in_3v3[26] |io_in_3v3[26] -io_in_3v3[2] |io_in_3v3[2] -io_in_3v3[3] |io_in_3v3[3] -io_in_3v3[4] |io_in_3v3[4] -io_in_3v3[5] |io_in_3v3[5] -io_in_3v3[6] |io_in_3v3[6] -io_in_3v3[7] |io_in_3v3[7] -io_in_3v3[8] |io_in_3v3[8] -io_in_3v3[9] |io_in_3v3[9] -io_oeb[0] |io_oeb[0] -io_oeb[10] |io_oeb[10] -io_oeb[13] |io_oeb[13] -io_oeb[14] |io_oeb[14] -io_oeb[17] |io_oeb[17] -io_oeb[18] |io_oeb[18] -io_oeb[19] |io_oeb[19] -io_oeb[1] |io_oeb[1] -io_oeb[20] |io_oeb[20] -io_oeb[21] |io_oeb[21] -io_oeb[22] |io_oeb[22] -io_oeb[23] |io_oeb[23] -io_oeb[24] |io_oeb[24] -io_oeb[25] |io_oeb[25] -io_oeb[26] |io_oeb[26] -io_oeb[2] |io_oeb[2] -io_oeb[3] |io_oeb[3] -io_oeb[4] |io_oeb[4] -io_oeb[5] |io_oeb[5] -io_oeb[6] |io_oeb[6] -io_oeb[7] |io_oeb[7] -io_oeb[8] |io_oeb[8] -io_oeb[9] |io_oeb[9] -io_out[0] |io_out[0] -io_out[10] |io_out[10] -io_out[13] |io_out[13] -io_out[14] |io_out[14] -io_out[17] |io_out[17] -io_out[18] |io_out[18] -io_out[19] |io_out[19] -io_out[1] |io_out[1] -io_out[20] |io_out[20] -io_out[21] |io_out[21] -io_out[22] |io_out[22] -io_out[23] |io_out[23] -io_out[24] |io_out[24] -io_out[25] |io_out[25] -io_out[26] |io_out[26] -io_out[2] |io_out[2] -io_out[3] |io_out[3] -io_out[4] |io_out[4] -io_out[5] |io_out[5] -io_out[6] |io_out[6] -io_out[7] |io_out[7] -io_out[8] |io_out[8] -io_out[9] |io_out[9] -la_data_in[0] |la_data_in[0] -la_data_in[100] |la_data_in[100] -la_data_in[101] |la_data_in[101] -la_data_in[102] |la_data_in[102] -la_data_in[103] |la_data_in[103] -la_data_in[104] |la_data_in[104] -la_data_in[105] |la_data_in[105] -la_data_in[106] |la_data_in[106] -la_data_in[107] |la_data_in[107] -la_data_in[108] |la_data_in[108] -la_data_in[109] |la_data_in[109] -la_data_in[10] |la_data_in[10] -la_data_in[110] |la_data_in[110] -la_data_in[111] |la_data_in[111] -la_data_in[112] |la_data_in[112] -la_data_in[113] |la_data_in[113] -la_data_in[114] |la_data_in[114] -la_data_in[115] |la_data_in[115] -la_data_in[116] |la_data_in[116] -la_data_in[117] |la_data_in[117] -la_data_in[118] |la_data_in[118] -la_data_in[119] |la_data_in[119] -la_data_in[11] |la_data_in[11] -la_data_in[120] |la_data_in[120] -la_data_in[121] |la_data_in[121] -la_data_in[122] |la_data_in[122] -la_data_in[123] |la_data_in[123] -la_data_in[124] |la_data_in[124] -la_data_in[125] |la_data_in[125] -la_data_in[126] |la_data_in[126] -la_data_in[127] |la_data_in[127] -la_data_in[12] |la_data_in[12] -la_data_in[13] |la_data_in[13] -la_data_in[14] |la_data_in[14] -la_data_in[15] |la_data_in[15] -la_data_in[16] |la_data_in[16] -la_data_in[17] |la_data_in[17] -la_data_in[18] |la_data_in[18] -la_data_in[19] |la_data_in[19] -la_data_in[1] |la_data_in[1] -la_data_in[20] |la_data_in[20] -la_data_in[21] |la_data_in[21] -la_data_in[22] |la_data_in[22] -la_data_in[23] |la_data_in[23] -la_data_in[24] |la_data_in[24] -la_data_in[25] |la_data_in[25] -la_data_in[26] |la_data_in[26] -la_data_in[27] |la_data_in[27] -la_data_in[28] |la_data_in[28] -la_data_in[29] |la_data_in[29] -la_data_in[2] |la_data_in[2] -la_data_in[30] |la_data_in[30] -la_data_in[31] |la_data_in[31] -la_data_in[32] |la_data_in[32] -la_data_in[33] |la_data_in[33] -la_data_in[34] |la_data_in[34] -la_data_in[35] |la_data_in[35] -la_data_in[36] |la_data_in[36] -la_data_in[37] |la_data_in[37] -la_data_in[38] |la_data_in[38] -la_data_in[39] |la_data_in[39] -la_data_in[3] |la_data_in[3] -la_data_in[40] |la_data_in[40] -la_data_in[41] |la_data_in[41] -la_data_in[42] |la_data_in[42] -la_data_in[43] |la_data_in[43] -la_data_in[44] |la_data_in[44] -la_data_in[45] |la_data_in[45] -la_data_in[46] |la_data_in[46] -la_data_in[47] |la_data_in[47] -la_data_in[48] |la_data_in[48] -la_data_in[49] |la_data_in[49] -la_data_in[4] |la_data_in[4] -la_data_in[50] |la_data_in[50] -la_data_in[51] |la_data_in[51] -la_data_in[52] |la_data_in[52] -la_data_in[53] |la_data_in[53] -la_data_in[54] |la_data_in[54] -la_data_in[55] |la_data_in[55] -la_data_in[56] |la_data_in[56] -la_data_in[57] |la_data_in[57] -la_data_in[58] |la_data_in[58] -la_data_in[59] |la_data_in[59] -la_data_in[5] |la_data_in[5] -la_data_in[60] |la_data_in[60] -la_data_in[61] |la_data_in[61] -la_data_in[62] |la_data_in[62] -la_data_in[63] |la_data_in[63] -la_data_in[64] |la_data_in[64] -la_data_in[65] |la_data_in[65] -la_data_in[66] |la_data_in[66] -la_data_in[67] |la_data_in[67] -la_data_in[68] |la_data_in[68] -la_data_in[69] |la_data_in[69] -la_data_in[6] |la_data_in[6] -la_data_in[70] |la_data_in[70] -la_data_in[71] |la_data_in[71] -la_data_in[72] |la_data_in[72] -la_data_in[73] |la_data_in[73] -la_data_in[74] |la_data_in[74] -la_data_in[75] |la_data_in[75] -la_data_in[76] |la_data_in[76] -la_data_in[77] |la_data_in[77] -la_data_in[78] |la_data_in[78] -la_data_in[79] |la_data_in[79] -la_data_in[7] |la_data_in[7] -la_data_in[80] |la_data_in[80] -la_data_in[81] |la_data_in[81] -la_data_in[82] |la_data_in[82] -la_data_in[83] |la_data_in[83] -la_data_in[84] |la_data_in[84] -la_data_in[85] |la_data_in[85] -la_data_in[86] |la_data_in[86] -la_data_in[87] |la_data_in[87] -la_data_in[88] |la_data_in[88] -la_data_in[89] |la_data_in[89] -la_data_in[8] |la_data_in[8] -la_data_in[90] |la_data_in[90] -la_data_in[91] |la_data_in[91] -la_data_in[92] |la_data_in[92] -la_data_in[93] |la_data_in[93] -la_data_in[94] |la_data_in[94] -la_data_in[95] |la_data_in[95] -la_data_in[96] |la_data_in[96] -la_data_in[97] |la_data_in[97] -la_data_in[98] |la_data_in[98] -la_data_in[99] |la_data_in[99] -la_data_in[9] |la_data_in[9] -la_data_out[0] |la_data_out[0] -la_data_out[100] |la_data_out[100] -la_data_out[101] |la_data_out[101] -la_data_out[102] |la_data_out[102] -la_data_out[103] |la_data_out[103] -la_data_out[104] |la_data_out[104] -la_data_out[105] |la_data_out[105] -la_data_out[106] |la_data_out[106] -la_data_out[107] |la_data_out[107] -la_data_out[108] |la_data_out[108] -la_data_out[109] |la_data_out[109] -la_data_out[10] |la_data_out[10] -la_data_out[110] |la_data_out[110] -la_data_out[111] |la_data_out[111] -la_data_out[112] |la_data_out[112] -la_data_out[113] |la_data_out[113] -la_data_out[114] |la_data_out[114] -la_data_out[115] |la_data_out[115] -la_data_out[116] |la_data_out[116] -la_data_out[117] |la_data_out[117] -la_data_out[118] |la_data_out[118] -la_data_out[119] |la_data_out[119] -la_data_out[11] |la_data_out[11] -la_data_out[120] |la_data_out[120] -la_data_out[121] |la_data_out[121] -la_data_out[122] |la_data_out[122] -la_data_out[123] |la_data_out[123] -la_data_out[124] |la_data_out[124] -la_data_out[125] |la_data_out[125] -la_data_out[126] |la_data_out[126] -la_data_out[127] |la_data_out[127] -la_data_out[12] |la_data_out[12] -la_data_out[13] |la_data_out[13] -la_data_out[14] |la_data_out[14] -la_data_out[15] |la_data_out[15] -la_data_out[16] |la_data_out[16] -la_data_out[17] |la_data_out[17] -la_data_out[18] |la_data_out[18] -la_data_out[19] |la_data_out[19] -la_data_out[1] |la_data_out[1] -la_data_out[20] |la_data_out[20] -la_data_out[21] |la_data_out[21] -la_data_out[22] |la_data_out[22] -la_data_out[23] |la_data_out[23] -la_data_out[24] |la_data_out[24] -la_data_out[25] |la_data_out[25] -la_data_out[26] |la_data_out[26] -la_data_out[27] |la_data_out[27] -la_data_out[28] |la_data_out[28] -la_data_out[29] |la_data_out[29] -la_data_out[2] |la_data_out[2] -la_data_out[30] |la_data_out[30] -la_data_out[31] |la_data_out[31] -la_data_out[32] |la_data_out[32] -la_data_out[33] |la_data_out[33] -la_data_out[34] |la_data_out[34] -la_data_out[35] |la_data_out[35] -la_data_out[36] |la_data_out[36] -la_data_out[37] |la_data_out[37] -la_data_out[38] |la_data_out[38] -la_data_out[39] |la_data_out[39] -la_data_out[3] |la_data_out[3] -la_data_out[40] |la_data_out[40] -la_data_out[41] |la_data_out[41] -la_data_out[42] |la_data_out[42] -la_data_out[43] |la_data_out[43] -la_data_out[44] |la_data_out[44] -la_data_out[45] |la_data_out[45] -la_data_out[46] |la_data_out[46] -la_data_out[47] |la_data_out[47] -la_data_out[48] |la_data_out[48] -la_data_out[49] |la_data_out[49] -la_data_out[4] |la_data_out[4] -la_data_out[50] |la_data_out[50] -la_data_out[51] |la_data_out[51] -la_data_out[52] |la_data_out[52] -la_data_out[53] |la_data_out[53] -la_data_out[54] |la_data_out[54] -la_data_out[55] |la_data_out[55] -la_data_out[56] |la_data_out[56] -la_data_out[57] |la_data_out[57] -la_data_out[58] |la_data_out[58] -la_data_out[59] |la_data_out[59] -la_data_out[5] |la_data_out[5] -la_data_out[60] |la_data_out[60] -la_data_out[61] |la_data_out[61] -la_data_out[62] |la_data_out[62] -la_data_out[63] |la_data_out[63] -la_data_out[64] |la_data_out[64] -la_data_out[65] |la_data_out[65] -la_data_out[66] |la_data_out[66] -la_data_out[67] |la_data_out[67] -la_data_out[68] |la_data_out[68] -la_data_out[69] |la_data_out[69] -la_data_out[6] |la_data_out[6] -la_data_out[70] |la_data_out[70] -la_data_out[71] |la_data_out[71] -la_data_out[72] |la_data_out[72] -la_data_out[73] |la_data_out[73] -la_data_out[74] |la_data_out[74] -la_data_out[75] |la_data_out[75] -la_data_out[76] |la_data_out[76] -la_data_out[77] |la_data_out[77] -la_data_out[78] |la_data_out[78] -la_data_out[79] |la_data_out[79] -la_data_out[7] |la_data_out[7] -la_data_out[80] |la_data_out[80] -la_data_out[81] |la_data_out[81] -la_data_out[82] |la_data_out[82] -la_data_out[83] |la_data_out[83] -la_data_out[84] |la_data_out[84] -la_data_out[85] |la_data_out[85] -la_data_out[86] |la_data_out[86] -la_data_out[87] |la_data_out[87] -la_data_out[88] |la_data_out[88] -la_data_out[89] |la_data_out[89] -la_data_out[8] |la_data_out[8] -la_data_out[90] |la_data_out[90] -la_data_out[91] |la_data_out[91] -la_data_out[92] |la_data_out[92] -la_data_out[93] |la_data_out[93] -la_data_out[94] |la_data_out[94] -la_data_out[95] |la_data_out[95] -la_data_out[96] |la_data_out[96] -la_data_out[97] |la_data_out[97] -la_data_out[98] |la_data_out[98] -la_data_out[99] |la_data_out[99] -la_data_out[9] |la_data_out[9] -la_oenb[0] |la_oenb[0] -la_oenb[100] |la_oenb[100] -la_oenb[101] |la_oenb[101] -la_oenb[102] |la_oenb[102] -la_oenb[103] |la_oenb[103] -la_oenb[104] |la_oenb[104] -la_oenb[105] |la_oenb[105] -la_oenb[106] |la_oenb[106] -la_oenb[107] |la_oenb[107] -la_oenb[108] |la_oenb[108] -la_oenb[109] |la_oenb[109] -la_oenb[10] |la_oenb[10] -la_oenb[110] |la_oenb[110] -la_oenb[111] |la_oenb[111] -la_oenb[112] |la_oenb[112] -la_oenb[113] |la_oenb[113] -la_oenb[114] |la_oenb[114] -la_oenb[115] |la_oenb[115] -la_oenb[116] |la_oenb[116] -la_oenb[117] |la_oenb[117] -la_oenb[118] |la_oenb[118] -la_oenb[119] |la_oenb[119] -la_oenb[11] |la_oenb[11] -la_oenb[120] |la_oenb[120] -la_oenb[121] |la_oenb[121] -la_oenb[122] |la_oenb[122] -la_oenb[123] |la_oenb[123] -la_oenb[124] |la_oenb[124] -la_oenb[125] |la_oenb[125] -la_oenb[126] |la_oenb[126] -la_oenb[127] |la_oenb[127] -la_oenb[12] |la_oenb[12] -la_oenb[13] |la_oenb[13] -la_oenb[14] |la_oenb[14] -la_oenb[15] |la_oenb[15] -la_oenb[16] |la_oenb[16] -la_oenb[17] |la_oenb[17] -la_oenb[18] |la_oenb[18] -la_oenb[19] |la_oenb[19] -la_oenb[1] |la_oenb[1] -la_oenb[20] |la_oenb[20] -la_oenb[21] |la_oenb[21] -la_oenb[22] |la_oenb[22] -la_oenb[23] |la_oenb[23] -la_oenb[24] |la_oenb[24] -la_oenb[25] |la_oenb[25] -la_oenb[26] |la_oenb[26] -la_oenb[27] |la_oenb[27] -la_oenb[28] |la_oenb[28] -la_oenb[29] |la_oenb[29] -la_oenb[2] |la_oenb[2] -la_oenb[30] |la_oenb[30] -la_oenb[31] |la_oenb[31] -la_oenb[32] |la_oenb[32] -la_oenb[33] |la_oenb[33] -la_oenb[34] |la_oenb[34] -la_oenb[35] |la_oenb[35] -la_oenb[36] |la_oenb[36] -la_oenb[37] |la_oenb[37] -la_oenb[38] |la_oenb[38] -la_oenb[39] |la_oenb[39] -la_oenb[3] |la_oenb[3] -la_oenb[40] |la_oenb[40] -la_oenb[41] |la_oenb[41] -la_oenb[42] |la_oenb[42] -la_oenb[43] |la_oenb[43] -la_oenb[44] |la_oenb[44] -la_oenb[45] |la_oenb[45] -la_oenb[46] |la_oenb[46] -la_oenb[47] |la_oenb[47] -la_oenb[48] |la_oenb[48] -la_oenb[49] |la_oenb[49] -la_oenb[4] |la_oenb[4] -la_oenb[50] |la_oenb[50] -la_oenb[51] |la_oenb[51] -la_oenb[52] |la_oenb[52] -la_oenb[53] |la_oenb[53] -la_oenb[54] |la_oenb[54] -la_oenb[55] |la_oenb[55] -la_oenb[56] |la_oenb[56] -la_oenb[57] |la_oenb[57] -la_oenb[58] |la_oenb[58] -la_oenb[59] |la_oenb[59] -la_oenb[5] |la_oenb[5] -la_oenb[60] |la_oenb[60] -la_oenb[61] |la_oenb[61] -la_oenb[62] |la_oenb[62] -la_oenb[63] |la_oenb[63] -la_oenb[64] |la_oenb[64] -la_oenb[65] |la_oenb[65] -la_oenb[66] |la_oenb[66] -la_oenb[67] |la_oenb[67] -la_oenb[68] |la_oenb[68] -la_oenb[69] |la_oenb[69] -la_oenb[6] |la_oenb[6] -la_oenb[70] |la_oenb[70] -la_oenb[71] |la_oenb[71] -la_oenb[72] |la_oenb[72] -la_oenb[73] |la_oenb[73] -la_oenb[74] |la_oenb[74] -la_oenb[75] |la_oenb[75] -la_oenb[76] |la_oenb[76] -la_oenb[77] |la_oenb[77] -la_oenb[78] |la_oenb[78] -la_oenb[79] |la_oenb[79] -la_oenb[7] |la_oenb[7] -la_oenb[80] |la_oenb[80] -la_oenb[81] |la_oenb[81] -la_oenb[82] |la_oenb[82] -la_oenb[83] |la_oenb[83] -la_oenb[84] |la_oenb[84] -la_oenb[85] |la_oenb[85] -la_oenb[86] |la_oenb[86] -la_oenb[87] |la_oenb[87] -la_oenb[88] |la_oenb[88] -la_oenb[89] |la_oenb[89] -la_oenb[8] |la_oenb[8] -la_oenb[90] |la_oenb[90] -la_oenb[91] |la_oenb[91] -la_oenb[92] |la_oenb[92] -la_oenb[93] |la_oenb[93] -la_oenb[94] |la_oenb[94] -la_oenb[95] |la_oenb[95] -la_oenb[96] |la_oenb[96] -la_oenb[97] |la_oenb[97] -la_oenb[98] |la_oenb[98] -la_oenb[99] |la_oenb[99] -la_oenb[9] |la_oenb[9] -user_clock2 |user_clock2 -user_irq[0] |user_irq[0] -user_irq[1] |user_irq[1] -user_irq[2] |user_irq[2] -vccd2 |vccd2 -vdda2 |vdda2 -vssa2 |vssa2 -vssd2 |vssd2 -wb_clk_i |wb_clk_i -wb_rst_i |wb_rst_i -wbs_ack_o |wbs_ack_o -wbs_adr_i[0] |wbs_adr_i[0] -wbs_adr_i[10] |wbs_adr_i[10] -wbs_adr_i[11] |wbs_adr_i[11] -wbs_adr_i[12] |wbs_adr_i[12] -wbs_adr_i[13] |wbs_adr_i[13] -wbs_adr_i[14] |wbs_adr_i[14] -wbs_adr_i[15] |wbs_adr_i[15] -wbs_adr_i[16] |wbs_adr_i[16] -wbs_adr_i[17] |wbs_adr_i[17] -wbs_adr_i[18] |wbs_adr_i[18] -wbs_adr_i[19] |wbs_adr_i[19] -wbs_adr_i[1] |wbs_adr_i[1] -wbs_adr_i[20] |wbs_adr_i[20] -wbs_adr_i[21] |wbs_adr_i[21] -wbs_adr_i[22] |wbs_adr_i[22] -wbs_adr_i[23] |wbs_adr_i[23] -wbs_adr_i[24] |wbs_adr_i[24] -wbs_adr_i[25] |wbs_adr_i[25] -wbs_adr_i[26] |wbs_adr_i[26] -wbs_adr_i[27] |wbs_adr_i[27] -wbs_adr_i[28] |wbs_adr_i[28] -wbs_adr_i[29] |wbs_adr_i[29] -wbs_adr_i[2] |wbs_adr_i[2] -wbs_adr_i[30] |wbs_adr_i[30] -wbs_adr_i[31] |wbs_adr_i[31] -wbs_adr_i[3] |wbs_adr_i[3] -wbs_adr_i[4] |wbs_adr_i[4] -wbs_adr_i[5] |wbs_adr_i[5] -wbs_adr_i[6] |wbs_adr_i[6] -wbs_adr_i[7] |wbs_adr_i[7] -wbs_adr_i[8] |wbs_adr_i[8] -wbs_adr_i[9] |wbs_adr_i[9] -wbs_cyc_i |wbs_cyc_i -wbs_dat_i[0] |wbs_dat_i[0] -wbs_dat_i[10] |wbs_dat_i[10] -wbs_dat_i[11] |wbs_dat_i[11] -wbs_dat_i[12] |wbs_dat_i[12] -wbs_dat_i[13] |wbs_dat_i[13] -wbs_dat_i[14] |wbs_dat_i[14] -wbs_dat_i[15] |wbs_dat_i[15] -wbs_dat_i[16] |wbs_dat_i[16] -wbs_dat_i[17] |wbs_dat_i[17] -wbs_dat_i[18] |wbs_dat_i[18] -wbs_dat_i[19] |wbs_dat_i[19] -wbs_dat_i[1] |wbs_dat_i[1] -wbs_dat_i[20] |wbs_dat_i[20] -wbs_dat_i[21] |wbs_dat_i[21] -wbs_dat_i[22] |wbs_dat_i[22] -wbs_dat_i[23] |wbs_dat_i[23] -wbs_dat_i[24] |wbs_dat_i[24] -wbs_dat_i[25] |wbs_dat_i[25] -wbs_dat_i[26] |wbs_dat_i[26] -wbs_dat_i[27] |wbs_dat_i[27] -wbs_dat_i[28] |wbs_dat_i[28] -wbs_dat_i[29] |wbs_dat_i[29] -wbs_dat_i[2] |wbs_dat_i[2] -wbs_dat_i[30] |wbs_dat_i[30] -wbs_dat_i[31] |wbs_dat_i[31] -wbs_dat_i[3] |wbs_dat_i[3] -wbs_dat_i[4] |wbs_dat_i[4] -wbs_dat_i[5] |wbs_dat_i[5] -wbs_dat_i[6] |wbs_dat_i[6] -wbs_dat_i[7] |wbs_dat_i[7] -wbs_dat_i[8] |wbs_dat_i[8] -wbs_dat_i[9] |wbs_dat_i[9] -wbs_dat_o[0] |wbs_dat_o[0] -wbs_dat_o[10] |wbs_dat_o[10] -wbs_dat_o[11] |wbs_dat_o[11] -wbs_dat_o[12] |wbs_dat_o[12] -wbs_dat_o[13] |wbs_dat_o[13] -wbs_dat_o[14] |wbs_dat_o[14] -wbs_dat_o[15] |wbs_dat_o[15] -wbs_dat_o[16] |wbs_dat_o[16] -wbs_dat_o[17] |wbs_dat_o[17] -wbs_dat_o[18] |wbs_dat_o[18] -wbs_dat_o[19] |wbs_dat_o[19] -wbs_dat_o[1] |wbs_dat_o[1] -wbs_dat_o[20] |wbs_dat_o[20] -wbs_dat_o[21] |wbs_dat_o[21] -wbs_dat_o[22] |wbs_dat_o[22] -wbs_dat_o[23] |wbs_dat_o[23] -wbs_dat_o[24] |wbs_dat_o[24] -wbs_dat_o[25] |wbs_dat_o[25] -wbs_dat_o[26] |wbs_dat_o[26] -wbs_dat_o[27] |wbs_dat_o[27] -wbs_dat_o[28] |wbs_dat_o[28] -wbs_dat_o[29] |wbs_dat_o[29] -wbs_dat_o[2] |wbs_dat_o[2] -wbs_dat_o[30] |wbs_dat_o[30] -wbs_dat_o[31] |wbs_dat_o[31] -wbs_dat_o[3] |wbs_dat_o[3] -wbs_dat_o[4] |wbs_dat_o[4] -wbs_dat_o[5] |wbs_dat_o[5] -wbs_dat_o[6] |wbs_dat_o[6] -wbs_dat_o[7] |wbs_dat_o[7] -wbs_dat_o[8] |wbs_dat_o[8] -wbs_dat_o[9] |wbs_dat_o[9] -wbs_sel_i[0] |wbs_sel_i[0] -wbs_sel_i[1] |wbs_sel_i[1] -wbs_sel_i[2] |wbs_sel_i[2] -wbs_sel_i[3] |wbs_sel_i[3] -wbs_stb_i |wbs_stb_i -wbs_we_i |wbs_we_i ---------------------------------------------------------------------------------------- -Cell pin lists are equivalent. -Device classes user_analog_project_wrapper and user_analog_project_wrapper are equivalent. -Circuits match uniquely. diff --git a/netgen/example_por.spice b/netgen/example_por.spice deleted file mode 100644 index 499f397..0000000 --- a/netgen/example_por.spice +++ /dev/null @@ -1,213 +0,0 @@ -* NGSPICE file created from example_por.ext - technology: sky130A - -.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW VSUBS m4_n3179_n3100# c2_n3079_n3000# -X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_sc_hvl__buf_8 A VGND VNB VPB VPWR X -X0 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=2.9175e+12p pd=2.189e+07u as=8.475e+11p ps=7.13e+06u w=1.5e+06u l=500000u -X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=1.45875e+12p pd=1.289e+07u as=8.4e+11p ps=8.24e+06u w=750000u l=500000u -X2 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=1.68e+12p ps=1.424e+07u w=1.5e+06u l=500000u -X3 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X4 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X5 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=4.2375e+11p pd=4.13e+06u as=0p ps=0u w=750000u l=500000u -X6 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X9 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X10 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X12 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X14 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X15 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X16 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X18 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X19 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X20 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X21 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ VSUBS a_n465_n200# a_n247_n200# a_n29_n200# -+ a_843_n200# w_n1101_n497# a_n843_n297# a_625_n200# a_683_n297# a_n625_n297# a_407_n200# -+ a_465_n297# a_n407_n297# a_247_n297# a_n901_n200# a_189_n200# a_29_n297# a_n189_n297# -+ a_n683_n200# -X0 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X2 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X4 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X6 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X7 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS a_n80_n288# a_n574_n200# a_n356_n200# -+ a_n138_n200# a_n734_n288# a_574_n288# a_n516_n288# a_356_n288# a_80_n200# a_n298_n288# -+ a_138_n288# w_n962_n458# a_734_n200# a_516_n200# a_298_n200# a_n792_n200# -X0 a_516_n200# a_356_n288# a_298_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X1 a_n574_n200# a_n734_n288# a_n792_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X2 a_298_n200# a_138_n288# a_80_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X3 a_80_n200# a_n80_n288# a_n138_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X4 a_734_n200# a_574_n288# a_516_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X5 a_n356_n200# a_n516_n288# a_n574_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X6 a_n138_n200# a_n298_n288# a_n356_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 a_n2578_n2932# a_5142_2500# a_n1034_n2932# -+ a_n262_2500# a_1668_2500# a_n262_n2932# a_n3736_2500# a_3984_n2932# a_n2192_2500# -+ a_3984_2500# a_2440_n2932# a_2440_2500# a_4370_n2932# a_3598_2500# a_2054_2500# -+ a_n4508_n2932# a_510_2500# a_n4122_2500# a_n2964_n2932# a_124_2500# a_n4894_n2932# -+ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_4370_2500# a_n3350_n2932# a_n648_n2932# -+ a_n648_2500# a_n5280_n2932# a_n1420_2500# a_n2964_2500# a_n2578_2500# a_n1034_2500# -+ a_2826_n2932# a_n2192_n2932# a_2826_2500# a_4756_n2932# w_n5446_n3098# a_1282_2500# -+ a_3212_n2932# a_n4894_2500# a_n3350_2500# a_n4508_2500# a_5142_n2932# a_896_2500# -+ a_510_n2932# a_1668_n2932# a_n1806_n2932# a_4756_2500# a_n3736_n2932# a_3598_n2932# -+ a_3212_2500# a_2054_n2932# a_896_n2932# a_n5280_2500# a_n4122_n2932# a_n1806_2500# -X0 a_n3350_n2932# a_n3350_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X1 a_n4508_n2932# a_n4508_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X2 a_n2578_n2932# a_n2578_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X3 a_n1420_n2932# a_n1420_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X4 a_n4894_n2932# a_n4894_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X5 a_n3736_n2932# a_n3736_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X6 a_3598_n2932# a_3598_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X7 a_124_n2932# a_124_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X8 a_4756_n2932# a_4756_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X9 a_n2964_n2932# a_n2964_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X10 a_1668_n2932# a_1668_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X11 a_n1806_n2932# a_n1806_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X12 a_n648_n2932# a_n648_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X13 a_3984_n2932# a_3984_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X14 a_2826_n2932# a_2826_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X15 a_510_n2932# a_510_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X16 a_n4122_n2932# a_n4122_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X17 a_n2192_n2932# a_n2192_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X18 a_5142_n2932# a_5142_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X19 a_n1034_n2932# a_n1034_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X20 a_2054_n2932# a_2054_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X21 a_4370_n2932# a_4370_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X22 a_3212_n2932# a_3212_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X23 a_1282_n2932# a_1282_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X24 a_n262_n2932# a_n262_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X25 a_n5280_n2932# a_n5280_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X26 a_2440_n2932# a_2440_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X27 a_896_n2932# a_896_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB VSUBS a_n138_n200# w_n338_n497# a_80_n200# -+ a_n80_n297# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VNB VPB VPWR X -X0 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u -X1 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=4.0875e+11p pd=4.09e+06u as=1.9875e+11p ps=2.03e+06u w=750000u l=500000u -X2 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=2.289e+11p pd=2.77e+06u as=1.113e+11p ps=1.37e+06u w=420000u l=500000u -X3 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=1.02225e+12p pd=5.2e+06u as=0p ps=0u w=750000u l=500000u -X4 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=1.113e+11p ps=1.37e+06u w=420000u l=500000u -X5 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=1.9875e+11p pd=2.03e+06u as=9.478e+11p ps=4.36e+06u w=750000u l=500000u -X6 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u -X7 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=3.975e+11p pd=3.53e+06u as=0p ps=0u w=1.5e+06u l=500000u -X8 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -X9 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=1.9875e+11p ps=2.03e+06u w=750000u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE VSUBS a_n138_n200# w_n338_n497# a_80_n200# -+ a_n80_n297# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM a_n80_n288# a_n138_n200# a_80_n200# w_n308_n458# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC a_n80_n288# a_n138_n200# a_80_n200# w_n308_n458# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW VSUBS m3_n3136_n3100# c1_n3036_n3000# -X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV VSUBS w_n992_n497# a_n574_n200# a_n356_n200# -+ a_n138_n200# a_80_n200# a_n80_n297# a_734_n200# a_n734_n297# a_516_n200# a_574_n297# -+ a_n516_n297# a_356_n297# a_298_n200# a_n298_n297# a_138_n297# a_n792_n200# -X0 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X1 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X2 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=0p ps=0u w=2e+06u l=800000u -X3 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X4 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X5 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -X6 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG VSUBS a_n138_n200# w_n338_n497# a_80_n200# -+ a_n80_n297# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=5.8e+11p pd=4.58e+06u as=5.8e+11p ps=4.58e+06u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__inv_8 A VGND VNB VPB VPWR Y -X0 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=1.68e+12p pd=1.424e+07u as=2.055e+12p ps=1.774e+07u w=1.5e+06u l=500000u -X1 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=8.4e+11p pd=8.24e+06u as=1.14e+12p ps=1.054e+07u w=750000u l=500000u -X2 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X3 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X4 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X5 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X6 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X7 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X9 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X10 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X11 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X12 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X13 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X14 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X15 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -.ends - -.subckt example_por vdd3v3 vdd1v8 vss porb_h por_l porb_l -Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_2_W5U4AW -Xsky130_fd_sc_hvl__buf_8_1 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 porb_l -+ sky130_fd_sc_hvl__buf_8 -Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 vss vdd3v3 m1_502_7653# vdd3v3 vdd3v3 vdd3v3 -+ m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# -+ m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ -Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 m1_721_6815# vss m1_721_6815# vss m1_721_6815# -+ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss -+ vss m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS -Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 li_2935_165# vss li_4479_165# li_4866_5813# -+ li_7182_5813# li_5251_165# li_1778_5813# li_9111_165# li_3322_5813# li_9498_5813# -+ li_7567_165# li_7954_5813# li_9883_165# li_8726_5813# li_7182_5813# li_619_165# -+ li_5638_5813# li_1006_5813# li_2163_165# li_5638_5813# li_619_165# li_6795_165# -+ li_5251_165# li_3707_165# li_9498_5813# li_2163_165# li_4479_165# li_4866_5813# -+ vss li_4094_5813# li_2550_5813# li_2550_5813# li_4094_5813# li_8339_165# li_2935_165# -+ li_7954_5813# li_9883_165# vss li_6410_5813# li_8339_165# vss li_1778_5813# li_1006_5813# -+ vss li_6410_5813# li_6023_165# li_6795_165# li_3707_165# vdd3v3 li_1391_165# li_9111_165# -+ li_8726_5813# li_7567_165# li_6023_165# vss li_1391_165# li_3322_5813# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 vss m1_2993_7658# vdd3v3 m1_721_6815# m1_185_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss vdd3v3 -+ vdd3v3 sky130_fd_sc_hvl__inv_8_0/A sky130_fd_sc_hvl__schmittbuf_1 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 vss m1_2756_6573# vdd3v3 m1_4283_8081# m1_2756_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 vss m1_6249_7690# vdd3v3 sky130_fd_sc_hvl__schmittbuf_1_0/A -+ m1_2756_6573# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 vss m1_185_6573# vdd3v3 m1_502_7653# m1_185_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 vss vdd3v3 vdd3v3 m1_6249_7690# m1_4283_8081# -+ sky130_fd_pr__pfet_g5v0d10v5_YUHPXE -Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 m1_721_6815# vss m1_2756_6573# vss sky130_fd_pr__nfet_g5v0d10v5_PKVMTM -Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 li_2550_5813# vss m1_185_6573# vss sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC -Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_1_WRT4AW -Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vss vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081# -+ vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081# -+ m1_4283_8081# m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 vss vdd3v3 vdd3v3 m1_2993_7658# m1_502_7653# -+ sky130_fd_pr__pfet_g5v0d10v5_YUHPBG -Xsky130_fd_sc_hvl__inv_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd1v8 vdd1v8 por_l -+ sky130_fd_sc_hvl__inv_8 -Xsky130_fd_sc_hvl__buf_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vss vdd3v3 vdd3v3 porb_h -+ sky130_fd_sc_hvl__buf_8 -.ends - diff --git a/netgen/run_lvs_por.sh b/netgen/run_lvs_por.sh deleted file mode 100755 index be822b5..0000000 --- a/netgen/run_lvs_por.sh +++ /dev/null @@ -1,24 +0,0 @@ -#!/bin/sh -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#-------------------------------------------------------------------------------- -# Run LVS on the example_por layout -# -# NOTE: By specifying the testbench for the schematic-side netlist, the proper -# includes used by the testbench simulation are picked up. Otherwise, the LVS -# itself compares just the simple_por subcircuit from the testbench. -#-------------------------------------------------------------------------------- -netgen -batch lvs "example_por.spice example_por" "../xschem/example_por_tb.spice example_por" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out diff --git a/netgen/run_lvs_wrapper_verilog.sh b/netgen/run_lvs_wrapper_verilog.sh deleted file mode 100755 index 5c63236..0000000 --- a/netgen/run_lvs_wrapper_verilog.sh +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/sh -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#-------------------------------------------------------------------------------- -# Run LVS on the user_analog_project_wrapper layout, comparing against the -# top-level verilog module. -# -#-------------------------------------------------------------------------------- -netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../verilog/rtl/user_analog_project_wrapper.v user_analog_project_wrapper" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out diff --git a/netgen/run_lvs_wrapper_xschem.sh b/netgen/run_lvs_wrapper_xschem.sh deleted file mode 100755 index 2fa97c0..0000000 --- a/netgen/run_lvs_wrapper_xschem.sh +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/sh -# SPDX-FileCopyrightText: 2020 Efabless Corporation -# -# Licensed under the Apache License, Version 2.0 (the "License"); -# you may not use this file except in compliance with the License. -# You may obtain a copy of the License at -# -# http://www.apache.org/licenses/LICENSE-2.0 -# -# Unless required by applicable law or agreed to in writing, software -# distributed under the License is distributed on an "AS IS" BASIS, -# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -# See the License for the specific language governing permissions and -# limitations under the License. -# SPDX-License-Identifier: Apache-2.0 - -#-------------------------------------------------------------------------------- -# Run LVS on the user_analog_project_wrapper layout, comparing against the -# top-level xschem subcircuit from the wrapper testbench. -# -#-------------------------------------------------------------------------------- -netgen -batch lvs "user_analog_project_wrapper.spice user_analog_project_wrapper" "../xschem/analog_wrapper_tb.spice user_analog_project_wrapper" $PDK_ROOT/$PDK/libs.tech/netgen/$PDK\_setup.tcl comp.out diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice deleted file mode 100644 index c3851a3..0000000 --- a/netgen/user_analog_project_wrapper.spice +++ /dev/null @@ -1,336 +0,0 @@ -* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A - -.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW c2_n3079_n3000# m4_n3179_n3100# -X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_sc_hvl__buf_8 A VGND VPWR X VNB VPB -X0 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X2 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X3 a_45_443# A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X4 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X5 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X6 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X7 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X9 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X10 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X11 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X12 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X13 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X14 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X15 VGND A a_45_443# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X16 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X17 X a_45_443# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X18 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X19 X a_45_443# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X20 VPWR a_45_443# X VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X21 a_45_443# A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ a_n683_n200# a_n189_n297# a_29_n297# a_189_n200# -+ a_n901_n200# a_247_n297# a_n407_n297# a_465_n297# a_407_n200# a_n625_n297# a_683_n297# -+ a_625_n200# a_n843_n297# w_n1101_n497# a_843_n200# a_n29_n200# a_n247_n200# a_n465_n200# -X0 a_n247_n200# a_n407_n297# a_n465_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X1 a_843_n200# a_683_n297# a_625_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X2 a_407_n200# a_247_n297# a_189_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X3 a_189_n200# a_29_n297# a_n29_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X4 a_n465_n200# a_n625_n297# a_n683_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_625_n200# a_465_n297# a_407_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X6 a_n29_n200# a_n189_n297# a_n247_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X7 a_n683_n200# a_n843_n297# a_n901_n200# w_n1101_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_TGFUGS a_n792_n200# a_298_n200# a_516_n200# a_734_n200# -+ w_n962_n458# a_138_n288# a_n298_n288# a_80_n200# a_356_n288# a_n516_n288# a_574_n288# -+ a_n734_n288# a_n138_n200# a_n356_n200# a_n574_n200# a_n80_n288# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X1 a_n574_n200# a_n734_n288# a_n792_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X2 a_734_n200# a_574_n288# a_516_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X3 a_298_n200# a_138_n288# a_80_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X4 a_n138_n200# a_n298_n288# a_n356_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_n356_n200# a_n516_n288# a_n574_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X6 a_516_n200# a_356_n288# a_298_n200# w_n962_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 a_n1806_2500# a_n4122_n2932# a_n5280_2500# -+ a_2054_n2932# a_896_n2932# a_4756_2500# a_3598_n2932# a_3212_2500# a_n3736_n2932# -+ a_1668_n2932# a_n1806_n2932# a_5142_n2932# a_896_2500# a_510_n2932# a_n3350_2500# -+ a_n4508_2500# a_3212_n2932# a_n4894_2500# a_1282_2500# w_n5446_n3098# a_4756_n2932# -+ a_2826_2500# a_2826_n2932# a_n2192_n2932# a_n1034_2500# a_n2578_2500# a_n1420_2500# -+ a_n2964_2500# a_n648_n2932# a_n648_2500# a_n5280_n2932# a_n3350_n2932# a_4370_2500# -+ a_1282_n2932# a_124_n2932# a_n1420_n2932# a_n4894_n2932# a_124_2500# a_n2964_n2932# -+ a_n4122_2500# a_2054_2500# a_510_2500# a_n4508_n2932# a_4370_n2932# a_3598_2500# -+ a_3984_2500# a_2440_n2932# a_2440_2500# a_3984_n2932# a_n2192_2500# a_n3736_2500# -+ a_1668_2500# a_n262_n2932# a_n262_2500# a_n1034_n2932# a_5142_2500# a_n2578_n2932# -X0 a_n2578_n2932# a_n2578_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X1 a_n1420_n2932# a_n1420_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X2 a_n1806_n2932# a_n1806_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X3 a_3212_n2932# a_3212_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X4 a_3598_n2932# a_3598_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X5 a_n2964_n2932# a_n2964_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X6 a_2826_n2932# a_2826_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X7 a_4370_n2932# a_4370_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X8 a_3984_n2932# a_3984_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X9 a_n262_n2932# a_n262_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X10 a_n3350_n2932# a_n3350_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X11 a_n4122_n2932# a_n4122_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X12 a_n3736_n2932# a_n3736_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X13 a_5142_n2932# a_5142_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X14 a_n4894_n2932# a_n4894_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X15 a_1282_n2932# a_1282_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X16 a_4756_n2932# a_4756_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X17 a_124_n2932# a_124_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X18 a_510_n2932# a_510_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X19 a_896_n2932# a_896_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X20 a_n648_n2932# a_n648_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X21 a_n5280_n2932# a_n5280_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X22 a_n4508_n2932# a_n4508_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X23 a_n1034_n2932# a_n1034_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X24 a_n2192_n2932# a_n2192_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X25 a_2054_n2932# a_2054_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X26 a_1668_n2932# a_1668_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -X27 a_2440_n2932# a_2440_2500# w_n5446_n3098# sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VPWR X VNB VPB -X0 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X1 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -X2 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X3 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -X4 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u -X5 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u -X6 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X7 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X9 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=420000u l=500000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_PKVMTM w_n308_n458# a_80_n200# a_n138_n200# a_n80_n288# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC w_n308_n458# a_80_n200# a_n138_n200# a_n80_n288# -X0 a_80_n200# a_n80_n288# a_n138_n200# w_n308_n458# sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW c1_n3036_n3000# m3_n3136_n3100# -X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV a_n792_n200# a_138_n297# a_n298_n297# -+ a_298_n200# a_356_n297# a_n516_n297# a_574_n297# a_516_n200# a_n734_n297# a_734_n200# -+ a_n80_n297# a_80_n200# a_n138_n200# a_n356_n200# a_n574_n200# w_n992_n497# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X1 a_n574_n200# a_n734_n297# a_n792_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X2 a_734_n200# a_574_n297# a_516_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X3 a_298_n200# a_138_n297# a_80_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X4 a_n138_n200# a_n298_n297# a_n356_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X5 a_n356_n200# a_n516_n297# a_n574_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -X6 a_516_n200# a_356_n297# a_298_n200# w_n992_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPBG a_n80_n297# a_80_n200# w_n338_n497# a_n138_n200# -X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=2e+06u l=800000u -.ends - -.subckt sky130_fd_sc_hvl__inv_8 A VGND VPWR Y VNB VPB -X0 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X1 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X2 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X3 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X4 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X5 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X6 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X7 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X8 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X9 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X10 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X11 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X12 VPWR A Y VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X13 Y A VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=500000u -X14 VGND A Y VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -X15 Y A VGND VNB sky130_fd_pr__nfet_g5v0d10v5 ad=0p pd=0u as=0p ps=0u w=750000u l=500000u -.ends - -.subckt example_por vdd3v3 vss porb_h por_l porb_l vdd1v8 -Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_2_W5U4AW -Xsky130_fd_sc_hvl__buf_8_1 sky130_fd_sc_hvl__inv_8_0/A vss vdd1v8 porb_l vss vdd1v8 -+ sky130_fd_sc_hvl__buf_8 -Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# -+ vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# -+ m1_502_7653# vdd3v3 vdd3v3 vdd3v3 m1_502_7653# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ -Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 m1_721_6815# vss m1_721_6815# vss vss m1_721_6815# -+ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss -+ m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS -Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 li_3322_5813# li_1391_165# vss li_7567_165# -+ li_6023_165# vdd3v3 li_9111_165# li_8726_5813# li_1391_165# li_6795_165# li_3707_165# -+ vss li_6410_5813# li_6023_165# li_1778_5813# li_1006_5813# li_8339_165# vss li_6410_5813# -+ vss li_9883_165# li_7954_5813# li_8339_165# li_2935_165# li_4094_5813# li_2550_5813# -+ li_4094_5813# li_2550_5813# li_4479_165# li_4866_5813# vss li_2163_165# li_9498_5813# -+ li_6795_165# li_5251_165# li_3707_165# li_619_165# li_5638_5813# li_2163_165# li_1006_5813# -+ li_7182_5813# li_5638_5813# li_619_165# li_9883_165# li_8726_5813# li_9498_5813# -+ li_7567_165# li_7954_5813# li_9111_165# li_3322_5813# li_1778_5813# li_7182_5813# -+ li_5251_165# li_4866_5813# li_4479_165# vss li_2935_165# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 m1_185_6573# m1_721_6815# vdd3v3 m1_2993_7658# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vdd3v3 sky130_fd_sc_hvl__inv_8_0/A -+ vss vdd3v3 sky130_fd_sc_hvl__schmittbuf_1 -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 m1_2756_6573# m1_4283_8081# vdd3v3 m1_2756_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 m1_2756_6573# sky130_fd_sc_hvl__schmittbuf_1_0/A -+ vdd3v3 m1_6249_7690# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 m1_185_6573# m1_502_7653# vdd3v3 m1_185_6573# -+ sky130_fd_pr__pfet_g5v0d10v5_3YBPVB -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 m1_4283_8081# m1_6249_7690# vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YUHPXE -Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 vss m1_2756_6573# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_PKVMTM -Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 vss m1_185_6573# vss li_2550_5813# sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC -Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_1_WRT4AW -Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# -+ m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# -+ vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV -Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 m1_502_7653# m1_2993_7658# vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YUHPBG -Xsky130_fd_sc_hvl__inv_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vdd1v8 por_l vss vdd1v8 -+ sky130_fd_sc_hvl__inv_8 -Xsky130_fd_sc_hvl__buf_8_0 sky130_fd_sc_hvl__inv_8_0/A vss vdd3v3 porb_h vss vdd3v3 -+ sky130_fd_sc_hvl__buf_8 -.ends - -.subckt user_analog_proj_example example_por_0/por_l example_por_1/por_l example_por_1/vdd3v3 -+ example_por_1/porb_l example_por_0/vdd3v3 example_por_1/porb_h example_por_0/porb_l -+ example_por_0/porb_h VSUBS example_por_0/vdd1v8 example_por_1/vdd1v8 -Xexample_por_0 example_por_0/vdd3v3 VSUBS example_por_0/porb_h example_por_0/por_l -+ example_por_0/porb_l example_por_0/vdd1v8 example_por -Xexample_por_1 example_por_1/vdd3v3 VSUBS example_por_1/porb_h example_por_1/por_l -+ example_por_1/porb_l example_por_1/vdd1v8 example_por -.ends - -.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11] -+ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16] -+ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5] -+ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10] -+ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16] -+ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5] -+ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10] -+ io_analog[1] io_analog[2] io_analog[3] io_analog[4] io_analog[7] io_analog[8] io_analog[9] -+ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0] -+ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] -+ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] -+ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5] -+ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12] -+ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18] -+ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23] -+ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4] -+ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10] -+ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] -+ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] -+ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] -+ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15] -+ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22] -+ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5] -+ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101] -+ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106] -+ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111] -+ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116] -+ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121] -+ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126] -+ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16] -+ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21] -+ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27] -+ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32] -+ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38] -+ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43] -+ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49] -+ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54] -+ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5] -+ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65] -+ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70] -+ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76] -+ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81] -+ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87] -+ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92] -+ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98] -+ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102] -+ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107] -+ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111] -+ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116] -+ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120] -+ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125] -+ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14] -+ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19] -+ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24] -+ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29] -+ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34] -+ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39] -+ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44] -+ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49] -+ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54] -+ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59] -+ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64] -+ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69] -+ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74] -+ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79] -+ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84] -+ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89] -+ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94] -+ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99] -+ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104] -+ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110] -+ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117] -+ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123] -+ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14] -+ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20] -+ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27] -+ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33] -+ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3] -+ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46] -+ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52] -+ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59] -+ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65] -+ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71] -+ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78] -+ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84] -+ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90] -+ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97] -+ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2] -+ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] -+ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] -+ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] -+ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] -+ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] -+ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] -+ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] -+ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] -+ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] -+ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] -+ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] -+ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] -+ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] -+ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] -+ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] -+ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] -+ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] -+ wbs_stb_i wbs_we_i -Xuser_analog_proj_example_0 io_out[16] io_out[12] vdda1 io_out[11] io_analog[4] gpio_analog[3] -+ io_out[15] gpio_analog[7] vssa1 vccd1 vccd1 user_analog_proj_example -R0 vssa1 io_clamp_low[2] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R1 io_oeb[15] vssd1 sky130_fd_pr__res_generic_m3 w=560000u l=600000u -R2 io_analog[4] io_clamp_high[0] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R3 vssd1 io_oeb[11] sky130_fd_pr__res_generic_m3 w=560000u l=580000u -R4 vssa1 io_clamp_low[1] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R5 io_oeb[16] vssd1 sky130_fd_pr__res_generic_m3 w=560000u l=310000u -R6 vssa1 io_clamp_low[0] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R7 vssd1 io_oeb[12] sky130_fd_pr__res_generic_m3 w=560000u l=490000u -R8 vssa1 io_clamp_high[2] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -R9 vssa1 io_clamp_high[1] sky130_fd_pr__res_generic_m3 w=1.1e+07u l=250000u -.ends - diff --git a/openlane/digital_locked_loop/base.sdc b/openlane/digital_locked_loop/base.sdc new file mode 100644 index 0000000..6907174 --- /dev/null +++ b/openlane/digital_locked_loop/base.sdc @@ -0,0 +1,28 @@ +create_clock [get_pins {"ringosc.ibufp01/Y"} ] -name "dll_control_clock" -period 6.6666666666667 + +set_propagated_clock [get_clocks {dll_control_clock}] + +set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] +set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_inputs] +set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] + +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" +set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINTY) [get_clocks {dll_control_clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {dll_control_clock}] diff --git a/openlane/digital_locked_loop/config.tcl b/openlane/digital_locked_loop/config.tcl new file mode 100644 index 0000000..0b0f1ff --- /dev/null +++ b/openlane/digital_locked_loop/config.tcl @@ -0,0 +1,72 @@ +# SPDX-FileCopyrightText: 2020 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. +# SPDX-License-Identifier: Apache-2.0 +set ::env(DESIGN_NAME) digital_locked_loop +set ::env(DESIGN_IS_CORE) 0 + +set ::env(VERILOG_FILES) "\ + $::env(DESIGN_DIR)/../../verilog/rtl/digital_locked_loop.v" + +set ::env(CLOCK_PORT) "" +set ::env(RUN_CTS) 0 + +# Synthesis +set ::env(SYNTH_READ_BLACKBOX_LIB) 1 +set ::env(SYNTH_MAX_FANOUT) 7 +set ::env(SYNTH_BUFFERING) 0 +set ::env(SYNTH_SIZING) 0 +set ::env(QUIT_ON_SYNTH_CHECKS) 0 + +set ::env(BASE_SDC_FILE) $::env(DESIGN_DIR)/base.sdc +set ::env(RCX_SDC_FILE) $::env(DESIGN_DIR)/rcx.sdc + +set ::env(NO_SYNTH_CELL_LIST) $::env(DESIGN_DIR)/no_synth.list + +## Floorplan +set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg + +set ::env(FP_SIZING) absolute +set ::env(DIE_AREA) "0 0 100 75" + +set ::env(TOP_MARGIN_MULT) 2 +set ::env(BOTTOM_MARGIN_MULT) 2 + +set ::env(DIODE_PADDING) 0 +set ::env(DPL_CELL_PADDING) 2 +set ::env(DRT_CELL_PADDING) 4 + +## PDN +set ::env(FP_PDN_VPITCH) 40 +set ::env(FP_PDN_HPITCH) 40 +set ::env(FP_PDN_HOFFSET) 16.41 +set ::env(FP_PDN_HSPACING) 18.4 +set ::env(FP_PDN_VSPACING) 18.4 + +## Placement +set ::env(PL_RESIZER_DESIGN_OPTIMIZATIONS) 0 +set ::env(PL_RESIZER_TIMING_OPTIMIZATIONS) 0 +set ::env(PL_TARGET_DENSITY) 0.68 + +## Routing +set ::env(GLB_RESIZER_TIMING_OPTIMIZATIONS) 0 +set ::env(GRT_ADJUSTMENT) 0 + +## Diode Insertion +set ::env(GRT_REPAIR_ANTENNAS) 1 +set ::env(RUN_HEURISTIC_DIODE_INSERTION) 1 +set ::env(HEURISTIC_ANTENNA_THRESHOLD) 80 + +set ::env(STA_WRITE_LIB) 0 +set ::env(FP_PDN_SKIPTRIM) 1 + diff --git a/openlane/digital_locked_loop/no_synth.list b/openlane/digital_locked_loop/no_synth.list new file mode 100644 index 0000000..80dcaf2 --- /dev/null +++ b/openlane/digital_locked_loop/no_synth.list @@ -0,0 +1,245 @@ +sky130_fd_sc_hd__clkbuf_1 +sky130_fd_sc_hd__clkbuf_16 +sky130_fd_sc_hd__clkbuf_2 +sky130_fd_sc_hd__clkbuf_4 +sky130_fd_sc_hd__clkbuf_8 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s15_2 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__clkdlybuf4s18_2 +sky130_fd_sc_hd__clkdlybuf4s25_1 +sky130_fd_sc_hd__clkdlybuf4s25_2 +sky130_fd_sc_hd__clkdlybuf4s50_1 +sky130_fd_sc_hd__clkdlybuf4s50_2 +sky130_fd_sc_hd__clkinv_1 +sky130_fd_sc_hd__clkinv_16 +sky130_fd_sc_hd__clkinv_2 +sky130_fd_sc_hd__clkinv_4 +sky130_fd_sc_hd__clkinv_8 +sky130_fd_sc_hd__clkinvlp_2 +sky130_fd_sc_hd__clkinvlp_4 +sky130_fd_sc_hd__decap_12 +sky130_fd_sc_hd__decap_3 +sky130_fd_sc_hd__decap_4 +sky130_fd_sc_hd__decap_6 +sky130_fd_sc_hd__decap_8 +sky130_fd_sc_hd__diode_2 +sky130_fd_sc_hd__dlclkp_1 +sky130_fd_sc_hd__dlclkp_2 +sky130_fd_sc_hd__dlclkp_4 +sky130_fd_sc_hd__dlrbn_1 +sky130_fd_sc_hd__dlrbn_2 +sky130_fd_sc_hd__dlrbp_1 +sky130_fd_sc_hd__dlrbp_2 +sky130_fd_sc_hd__dlrtn_1 +sky130_fd_sc_hd__dlrtn_2 +sky130_fd_sc_hd__dlrtn_4 +sky130_fd_sc_hd__dlrtp_1 +sky130_fd_sc_hd__dlrtp_2 +sky130_fd_sc_hd__dlrtp_4 +sky130_fd_sc_hd__dlxbn_1 +sky130_fd_sc_hd__dlxbn_2 +sky130_fd_sc_hd__dlxbp_1 +sky130_fd_sc_hd__dlygate4sd1_1 +sky130_fd_sc_hd__dlygate4sd2_1 +sky130_fd_sc_hd__dlygate4sd3_1 +sky130_fd_sc_hd__dlymetal6s2s_1 +sky130_fd_sc_hd__dlymetal6s4s_1 +sky130_fd_sc_hd__dlymetal6s6s_1 +sky130_fd_sc_hd__edfxbp_1 +sky130_fd_sc_hd__edfxtp_1 +sky130_fd_sc_hd__einvn_0 +sky130_fd_sc_hd__einvn_1 +sky130_fd_sc_hd__einvn_2 +sky130_fd_sc_hd__einvn_4 +sky130_fd_sc_hd__einvn_8 +sky130_fd_sc_hd__einvp_1 +sky130_fd_sc_hd__einvp_2 +sky130_fd_sc_hd__einvp_4 +sky130_fd_sc_hd__einvp_8 +sky130_fd_sc_hd__fah_1 +sky130_fd_sc_hd__fahcin_1 +sky130_fd_sc_hd__fahcon_1 +sky130_fd_sc_hd__ha_1 +sky130_fd_sc_hd__ha_2 +sky130_fd_sc_hd__ha_4 +sky130_fd_sc_hd__macro_sparecell +sky130_fd_sc_hd__maj3_1 +sky130_fd_sc_hd__maj3_2 +sky130_fd_sc_hd__maj3_4 +sky130_fd_sc_hd__mux2i_1 +sky130_fd_sc_hd__mux2i_2 +sky130_fd_sc_hd__mux2i_4 +sky130_fd_sc_hd__sdfbbn_1 +sky130_fd_sc_hd__sdfbbn_2 +sky130_fd_sc_hd__sdfbbp_1 +sky130_fd_sc_hd__sdfrbp_1 +sky130_fd_sc_hd__sdfrbp_2 +sky130_fd_sc_hd__sdfrtn_1 +sky130_fd_sc_hd__sdfrtp_1 +sky130_fd_sc_hd__sdfrtp_2 +sky130_fd_sc_hd__sdfrtp_4 +sky130_fd_sc_hd__sdfsbp_1 +sky130_fd_sc_hd__sdfsbp_2 +sky130_fd_sc_hd__sdfstp_1 +sky130_fd_sc_hd__sdfstp_2 +sky130_fd_sc_hd__sdfstp_4 +sky130_fd_sc_hd__sdfxbp_1 +sky130_fd_sc_hd__sdfxbp_2 +sky130_fd_sc_hd__sdfxtp_1 +sky130_fd_sc_hd__sdfxtp_2 +sky130_fd_sc_hd__sdfxtp_4 +sky130_fd_sc_hd__sdlclkp_1 +sky130_fd_sc_hd__sdlclkp_2 +sky130_fd_sc_hd__sdlclkp_4 +sky130_fd_sc_hd__sedfxbp_1 +sky130_fd_sc_hd__sedfxbp_2 +sky130_fd_sc_hd__sedfxtp_1 +sky130_fd_sc_hd__sedfxtp_2 +sky130_fd_sc_hd__sedfxtp_4 +sky130_fd_sc_hd__a2111oi_1 +sky130_fd_sc_hd__a211o_1 +sky130_fd_sc_hd__a211oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a2111o_1 +sky130_fd_sc_hd__a21bo_1 +sky130_fd_sc_hd__a21boi_1 +sky130_fd_sc_hd__a21o_1 +sky130_fd_sc_hd__a21oi_1 +sky130_fd_sc_hd__a221o_1 +sky130_fd_sc_hd__a221oi_1 +sky130_fd_sc_hd__a222oi_1 +sky130_fd_sc_hd__a22o_1 +sky130_fd_sc_hd__a22oi_1 +sky130_fd_sc_hd__a2bb2o_1 +sky130_fd_sc_hd__a2bb2oi_1 +sky130_fd_sc_hd__a311o_1 +sky130_fd_sc_hd__a311oi_1 +sky130_fd_sc_hd__a31o_1 +sky130_fd_sc_hd__a31oi_1 +sky130_fd_sc_hd__a32o_1 +sky130_fd_sc_hd__a32oi_1 +sky130_fd_sc_hd__a41o_1 +sky130_fd_sc_hd__a41oi_1 +sky130_fd_sc_hd__and2_1 +sky130_fd_sc_hd__and2b_1 +sky130_fd_sc_hd__and3_1 +sky130_fd_sc_hd__and3b_1 +sky130_fd_sc_hd__and4_1 +sky130_fd_sc_hd__and4b_1 +sky130_fd_sc_hd__and4bb_1 +sky130_fd_sc_hd__dfbbn_1 +sky130_fd_sc_hd__dfbbp_1 +sky130_fd_sc_hd__dfrbp_1 +sky130_fd_sc_hd__dfrtn_1 +sky130_fd_sc_hd__dfrtp_1 +sky130_fd_sc_hd__dfsbp_1 +sky130_fd_sc_hd__dfstp_1 +sky130_fd_sc_hd__dfxbp_1 +sky130_fd_sc_hd__dfxtp_1 +sky130_fd_sc_hd__ebufn_1 +sky130_fd_sc_hd__inv_1 +sky130_fd_sc_hd__nand2_1 +sky130_fd_sc_hd__nand2b_1 +sky130_fd_sc_hd__nand3_1 +sky130_fd_sc_hd__nand3b_1 +sky130_fd_sc_hd__nand4_1 +sky130_fd_sc_hd__nand4b_1 +sky130_fd_sc_hd__nand4bb_1 +sky130_fd_sc_hd__nor2_1 +sky130_fd_sc_hd__nor2b_1 +sky130_fd_sc_hd__nor3_1 +sky130_fd_sc_hd__nor3b_1 +sky130_fd_sc_hd__nor4_1 +sky130_fd_sc_hd__nor4b_1 +sky130_fd_sc_hd__nor4bb_1 +sky130_fd_sc_hd__o2111a_1 +sky130_fd_sc_hd__o2111ai_1 +sky130_fd_sc_hd__o211a_1 +sky130_fd_sc_hd__o211ai_1 +sky130_fd_sc_hd__o21a_1 +sky130_fd_sc_hd__o21ai_1 +sky130_fd_sc_hd__o21ba_1 +sky130_fd_sc_hd__o21bai_1 +sky130_fd_sc_hd__o221a_1 +sky130_fd_sc_hd__o221ai_1 +sky130_fd_sc_hd__o22a_1 +sky130_fd_sc_hd__o22ai_1 +sky130_fd_sc_hd__o2bb2a_1 +sky130_fd_sc_hd__o2bb2ai_1 +sky130_fd_sc_hd__o311a_1 +sky130_fd_sc_hd__o311ai_1 +sky130_fd_sc_hd__o31a_1 +sky130_fd_sc_hd__o31ai_1 +sky130_fd_sc_hd__o32a_1 +sky130_fd_sc_hd__o32ai_1 +sky130_fd_sc_hd__o41a_1 +sky130_fd_sc_hd__o41ai_1 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__xnor2_1 +sky130_fd_sc_hd__xor2_1 + +sky130_fd_sc_hd__buf_1 +sky130_fd_sc_hd__bufbuf_1 +sky130_fd_sc_hd__bufinv_1 +sky130_fd_sc_hd__clkbuf_1 +sky130_fd_sc_hd__clkdlybuf4s15_1 +sky130_fd_sc_hd__clkdlybuf4s18_1 +sky130_fd_sc_hd__clkdlybuf4s25_1 +sky130_fd_sc_hd__clkdlybuf4s50_1 +sky130_fd_sc_hd__clkinv_1 +sky130_fd_sc_hd__dlygate4sd1_1 +sky130_fd_sc_hd__dlygate4sd2_1 +sky130_fd_sc_hd__dlygate4sd3_1 +sky130_fd_sc_hd__dlymetal6s2s_1 +sky130_fd_sc_hd__dlymetal6s4s_1 +sky130_fd_sc_hd__dlymetal6s6s_1 + +sky130_fd_sc_hd__or2 +sky130_fd_sc_hd__or2_0 +sky130_fd_sc_hd__or2_1 +sky130_fd_sc_hd__or2_2 +sky130_fd_sc_hd__or2_4 +sky130_fd_sc_hd__or2b +sky130_fd_sc_hd__or2b_1 +sky130_fd_sc_hd__or2b_2 +sky130_fd_sc_hd__or2b_4 +sky130_fd_sc_hd__or3 +sky130_fd_sc_hd__or3_1 +sky130_fd_sc_hd__or3_2 +sky130_fd_sc_hd__or3_4 +sky130_fd_sc_hd__or3b +sky130_fd_sc_hd__or3b_1 +sky130_fd_sc_hd__or3b_2 +sky130_fd_sc_hd__or3b_4 +sky130_fd_sc_hd__or4 +sky130_fd_sc_hd__or4_1 +sky130_fd_sc_hd__or4_2 +sky130_fd_sc_hd__or4_4 +sky130_fd_sc_hd__or4b +sky130_fd_sc_hd__or4b_1 +sky130_fd_sc_hd__or4b_2 +sky130_fd_sc_hd__or4b_4 +sky130_fd_sc_hd__or4bb +sky130_fd_sc_hd__or4bb_1 +sky130_fd_sc_hd__or4bb_2 +sky130_fd_sc_hd__or4bb_4 diff --git a/openlane/digital_locked_loop/pin_order.cfg b/openlane/digital_locked_loop/pin_order.cfg new file mode 100644 index 0000000..b985880 --- /dev/null +++ b/openlane/digital_locked_loop/pin_order.cfg @@ -0,0 +1,23 @@ +#N +ext_trim\[[7-9]\] +ext_trim\[1[0-9]\] + +#E +ext_trim\[25\] +ext_trim\[24\] +ext_trim\[23\] +ext_trim\[22\] +ext_trim\[21\] +ext_trim\[20\] + +#W +clockp.* +div.* +enable +dco.* +ext_trim\[[0-6]\] + +#S +resetb +osc + diff --git a/openlane/digital_locked_loop/rcx.sdc b/openlane/digital_locked_loop/rcx.sdc new file mode 100644 index 0000000..7d80e41 --- /dev/null +++ b/openlane/digital_locked_loop/rcx.sdc @@ -0,0 +1,28 @@ +create_clock [get_pins {"ringosc.ibufp01/Y"} ] -name "dll_control_clock" -period 6.6666666666667 + +set_propagated_clock [get_clocks {dll_control_clock}] + +set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)] +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" + +set_max_fanout 15 [current_design] +set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_inputs] +set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs] + +# TODO set this as parameter +set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs] +set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0] +puts "\[INFO\]: Setting load to: $cap_load" +set_load $cap_load [all_outputs] + +puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %" +set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] +set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] + +puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" +set_clock_uncertainty 0.1 [get_clocks {dll_control_clock}] + +puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" +set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {dll_control_clock}] diff --git a/openlane/openframe_project_wrapper/base.sdc b/openlane/openframe_project_wrapper/base.sdc new file mode 100644 index 0000000..701044d --- /dev/null +++ b/openlane/openframe_project_wrapper/base.sdc @@ -0,0 +1,55 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}] + +set_clock_uncertainty 0.8 [all_clocks] +set_propagated_clock [all_clocks] + +## INPUT/OUTPUT DELAYS +set input_delay_value 0 +set output_delay_value 22 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb_l}] +set_false_path -from [get_ports {porb_l}] + +# add loads for output ports (pads) +set min_cap 0.5 +set max_cap 1.0 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.15 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans 0.5 [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc b/openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc deleted file mode 100644 index eb2c153..0000000 --- a/openlane/openframe_project_wrapper/base_openframe_project_wrapper.sdc +++ /dev/null @@ -1,517 +0,0 @@ -# generated by get_cup_sdc.py -# Date: 2023/03/02 -### Note: - input clock transition and latency are set based on the gpio_in[38] port. -### If your design is using the user_clock2, update the constraints to use usr_* variables. -### - input delays for wbs_adr_i[0] and wbs_adr_i[1] are assumed to be 0 as they're not reported (constants) -### - IO ports are assumed to be asynchronous. If they're synchronous to the clock, update the variable IO_SYNC to 1. -### As well, update in_ext_delay and out_ext_delay with the required I/O external delays. - -#------------------------------------------# -# Pre-defined Constraints -#------------------------------------------# - -# Clock network -if {[info exists ::env(CLOCK_PORT)] && $::env(CLOCK_PORT) != ""} { - set clk_input $::env(CLOCK_PORT) - create_clock [get_ports $clk_input] -name clk -period $::env(CLOCK_PERIOD) - puts "\[INFO\]: Creating clock {clk} for port $clk_input with period: $::env(CLOCK_PERIOD)" -} else { - set clk_input __VIRTUAL_CLK__ - create_clock -name clk -period $::env(CLOCK_PERIOD) - puts "\[INFO\]: Creating virtual clock with period: $::env(CLOCK_PERIOD)" -} -if { ![info exists ::env(SYNTH_CLK_DRIVING_CELL)] } { - set ::env(SYNTH_CLK_DRIVING_CELL) $::env(SYNTH_DRIVING_CELL) -} -if { ![info exists ::env(SYNTH_CLK_DRIVING_CELL_PIN)] } { - set ::env(SYNTH_CLK_DRIVING_CELL_PIN) $::env(SYNTH_DRIVING_CELL_PIN) -} - -# Clock non-idealities -set_propagated_clock [get_clocks {clk}] -set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINTY) [get_clocks {clk}] -puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINTY)" -set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks {clk}] -puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)" - -# Maximum transition time of the design nets -set_max_transition $::env(SYNTH_MAX_TRAN) [current_design] -puts "\[INFO\]: Setting maximum transition to: $::env(SYNTH_MAX_TRAN)" - -# Maximum fanout -set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design] -puts "\[INFO\]: Setting maximum fanout to: $::env(SYNTH_MAX_FANOUT)" - -# Timing paths delays derate -set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}] -set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}] -puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 100}] %" - -#------------------------------------------# -# Retrieved Constraints -#------------------------------------------# - -# Clock source latency -set usr_clk_max_latency 4.7 -set usr_clk_min_latency 4.24 -set clk_max_latency 6 -set clk_min_latency 4.5 -set_clock_latency -source -max $clk_max_latency [get_clocks {clk}] -set_clock_latency -source -min $clk_min_latency [get_clocks {clk}] -puts "\[INFO\]: Setting clock latency range: $clk_min_latency : $clk_max_latency" - -# Clock input Transition -set usr_clk_tran 0.11 -set clk_tran 0.6 -set_input_transition $clk_tran [get_ports $clk_input] -puts "\[INFO\]: Setting clock transition: $clk_tran" - -# Input delays -if { $::env(IO_SYNC) } { - set in_ext_delay 4 - puts "\[INFO\]: Setting input ports external delay to: $in_ext_delay" - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[0]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[10]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[11]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[12]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[13]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[14]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[15]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[16]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[17]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[18]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[19]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[1]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[20]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[21]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[22]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[23]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[24]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[25]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[26]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[27]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[28]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[29]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[2]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[30]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[31]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[32]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[33]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[34]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[35]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[36]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[37]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[3]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[4]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[5]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[6]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[7]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[8]}] - set_input_delay -max [expr $in_ext_delay + 4.22] -clock [get_clocks {clk}] [get_ports {gpio_in[9]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[0]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[10]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[11]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[12]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[13]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[14]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[15]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[16]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[17]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[18]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[19]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[1]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[20]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[21]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[22]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[23]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[24]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[25]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[26]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[27]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[28]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[29]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[2]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[30]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[31]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[32]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[33]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[34]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[35]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[36]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[37]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[39]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[3]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[40]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[41]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[42]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[43]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[4]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[5]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[6]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[7]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[8]}] - set_input_delay -min [expr $in_ext_delay + 1.58] -clock [get_clocks {clk}] [get_ports {gpio_in[9]}] -} - -# Input Transition -set_input_transition -max 0.44 [get_ports {gpio_in[0]}] -set_input_transition -max 0.44 [get_ports {gpio_in[10]}] -set_input_transition -max 0.44 [get_ports {gpio_in[11]}] -set_input_transition -max 0.44 [get_ports {gpio_in[12]}] -set_input_transition -max 0.44 [get_ports {gpio_in[13]}] -set_input_transition -max 0.44 [get_ports {gpio_in[14]}] -set_input_transition -max 0.44 [get_ports {gpio_in[15]}] -set_input_transition -max 0.44 [get_ports {gpio_in[16]}] -set_input_transition -max 0.44 [get_ports {gpio_in[17]}] -set_input_transition -max 0.44 [get_ports {gpio_in[18]}] -set_input_transition -max 0.44 [get_ports {gpio_in[19]}] -set_input_transition -max 0.44 [get_ports {gpio_in[1]}] -set_input_transition -max 0.44 [get_ports {gpio_in[20]}] -set_input_transition -max 0.44 [get_ports {gpio_in[21]}] -set_input_transition -max 0.44 [get_ports {gpio_in[22]}] -set_input_transition -max 0.44 [get_ports {gpio_in[23]}] -set_input_transition -max 0.44 [get_ports {gpio_in[24]}] -set_input_transition -max 0.44 [get_ports {gpio_in[25]}] -set_input_transition -max 0.44 [get_ports {gpio_in[26]}] -set_input_transition -max 0.44 [get_ports {gpio_in[27]}] -set_input_transition -max 0.44 [get_ports {gpio_in[28]}] -set_input_transition -max 0.44 [get_ports {gpio_in[29]}] -set_input_transition -max 0.44 [get_ports {gpio_in[2]}] -set_input_transition -max 0.44 [get_ports {gpio_in[30]}] -set_input_transition -max 0.44 [get_ports {gpio_in[31]}] -set_input_transition -max 0.44 [get_ports {gpio_in[32]}] -set_input_transition -max 0.44 [get_ports {gpio_in[33]}] -set_input_transition -max 0.44 [get_ports {gpio_in[34]}] -set_input_transition -max 0.44 [get_ports {gpio_in[35]}] -set_input_transition -max 0.44 [get_ports {gpio_in[36]}] -set_input_transition -max 0.44 [get_ports {gpio_in[37]}] -set_input_transition -max 0.44 [get_ports {gpio_in[38]}] -set_input_transition -max 0.44 [get_ports {gpio_in[39]}] -set_input_transition -max 0.44 [get_ports {gpio_in[3]}] -set_input_transition -max 0.44 [get_ports {gpio_in[40]}] -set_input_transition -max 0.44 [get_ports {gpio_in[41]}] -set_input_transition -max 0.44 [get_ports {gpio_in[42]}] -set_input_transition -max 0.44 [get_ports {gpio_in[43]}] -set_input_transition -max 0.44 [get_ports {gpio_in[4]}] -set_input_transition -max 0.44 [get_ports {gpio_in[5]}] -set_input_transition -max 0.44 [get_ports {gpio_in[6]}] -set_input_transition -max 0.44 [get_ports {gpio_in[7]}] -set_input_transition -max 0.44 [get_ports {gpio_in[8]}] -set_input_transition -max 0.44 [get_ports {gpio_in[9]}] -set_input_transition -min 0.05 [get_ports {gpio_in[0]}] -set_input_transition -min 0.05 [get_ports {gpio_in[10]}] -set_input_transition -min 0.05 [get_ports {gpio_in[11]}] -set_input_transition -min 0.05 [get_ports {gpio_in[12]}] -set_input_transition -min 0.05 [get_ports {gpio_in[13]}] -set_input_transition -min 0.05 [get_ports {gpio_in[14]}] -set_input_transition -min 0.05 [get_ports {gpio_in[15]}] -set_input_transition -min 0.05 [get_ports {gpio_in[16]}] -set_input_transition -min 0.05 [get_ports {gpio_in[17]}] -set_input_transition -min 0.05 [get_ports {gpio_in[18]}] -set_input_transition -min 0.05 [get_ports {gpio_in[19]}] -set_input_transition -min 0.05 [get_ports {gpio_in[1]}] -set_input_transition -min 0.05 [get_ports {gpio_in[20]}] -set_input_transition -min 0.05 [get_ports {gpio_in[21]}] -set_input_transition -min 0.05 [get_ports {gpio_in[22]}] -set_input_transition -min 0.05 [get_ports {gpio_in[23]}] -set_input_transition -min 0.05 [get_ports {gpio_in[24]}] -set_input_transition -min 0.05 [get_ports {gpio_in[25]}] -set_input_transition -min 0.05 [get_ports {gpio_in[26]}] -set_input_transition -min 0.05 [get_ports {gpio_in[27]}] -set_input_transition -min 0.05 [get_ports {gpio_in[28]}] -set_input_transition -min 0.05 [get_ports {gpio_in[29]}] -set_input_transition -min 0.05 [get_ports {gpio_in[2]}] -set_input_transition -min 0.05 [get_ports {gpio_in[30]}] -set_input_transition -min 0.05 [get_ports {gpio_in[31]}] -set_input_transition -min 0.05 [get_ports {gpio_in[32]}] -set_input_transition -min 0.05 [get_ports {gpio_in[33]}] -set_input_transition -min 0.05 [get_ports {gpio_in[34]}] -set_input_transition -min 0.05 [get_ports {gpio_in[35]}] -set_input_transition -min 0.05 [get_ports {gpio_in[36]}] -set_input_transition -min 0.05 [get_ports {gpio_in[37]}] -set_input_transition -min 0.05 [get_ports {gpio_in[38]}] -set_input_transition -min 0.05 [get_ports {gpio_in[39]}] -set_input_transition -min 0.05 [get_ports {gpio_in[3]}] -set_input_transition -min 0.05 [get_ports {gpio_in[40]}] -set_input_transition -min 0.05 [get_ports {gpio_in[41]}] -set_input_transition -min 0.05 [get_ports {gpio_in[42]}] -set_input_transition -min 0.05 [get_ports {gpio_in[43]}] -set_input_transition -min 0.05 [get_ports {gpio_in[4]}] -set_input_transition -min 0.05 [get_ports {gpio_in[5]}] -set_input_transition -min 0.05 [get_ports {gpio_in[6]}] -set_input_transition -min 0.05 [get_ports {gpio_in[7]}] -set_input_transition -min 0.05 [get_ports {gpio_in[8]}] -set_input_transition -min 0.05 [get_ports {gpio_in[9]}] - -# Output delays -if { $::env(IO_SYNC) } { - set out_ext_delay 4 - puts "\[INFO\]: Setting output ports external delay to: $out_ext_delay" - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[0]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[10]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[11]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[12]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[13]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[14]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[15]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[16]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[17]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[18]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[19]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[1]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[20]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[21]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[22]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[23]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[24]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[25]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[26]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[27]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[28]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[29]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[2]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[30]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[31]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[32]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[33]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[34]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[35]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[36]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[37]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[38]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[39]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[3]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[40]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[41]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[42]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[43]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[4]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[5]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[6]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[7]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[8]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_oeb[9]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[0]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[10]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[11]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[12]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[13]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[14]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[15]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[16]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[17]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[18]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[19]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[1]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[20]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[21]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[22]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[23]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[24]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[25]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[26]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[27]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[28]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[29]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[2]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[30]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[31]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[32]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[33]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[34]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[35]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[36]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[37]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[38]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[39]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[3]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[40]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[41]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[42]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[43]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[4]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[5]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[6]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[7]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[8]}] - set_output_delay -max [expr $out_ext_delay + 9.02]-clock [get_clocks {clk}] [get_ports {gpio_out[9]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[0]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[10]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[11]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[12]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[13]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[14]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[15]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[16]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[17]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[18]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[19]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[1]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[20]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[21]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[22]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[23]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[24]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[25]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[26]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[27]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[28]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[29]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[2]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[30]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[31]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[32]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[33]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[34]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[35]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[36]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[37]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[38]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[39]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[3]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[40]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[41]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[42]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[43]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[4]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[5]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[6]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[7]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[8]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_oeb[9]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[0]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[10]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[11]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[12]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[13]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[14]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[15]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[16]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[17]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[18]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[19]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[1]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[20]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[21]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[22]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[23]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[24]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[25]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[26]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[27]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[28]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[29]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[2]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[30]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[31]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[32]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[33]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[34]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[35]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[36]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[37]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[38]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[39]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[3]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[40]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[41]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[42]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[43]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[4]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[5]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[6]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[7]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[8]}] - set_output_delay -min [expr $out_ext_delay + 2.74]-clock [get_clocks {clk}] [get_ports {gpio_out[9]}] -} - -# Output loads -set_load 0.14 [get_ports {gpio_oeb[0]}] -set_load 0.14 [get_ports {gpio_oeb[10]}] -set_load 0.14 [get_ports {gpio_oeb[11]}] -set_load 0.14 [get_ports {gpio_oeb[12]}] -set_load 0.14 [get_ports {gpio_oeb[13]}] -set_load 0.14 [get_ports {gpio_oeb[14]}] -set_load 0.14 [get_ports {gpio_oeb[15]}] -set_load 0.14 [get_ports {gpio_oeb[16]}] -set_load 0.14 [get_ports {gpio_oeb[17]}] -set_load 0.14 [get_ports {gpio_oeb[18]}] -set_load 0.14 [get_ports {gpio_oeb[19]}] -set_load 0.14 [get_ports {gpio_oeb[1]}] -set_load 0.14 [get_ports {gpio_oeb[20]}] -set_load 0.14 [get_ports {gpio_oeb[21]}] -set_load 0.14 [get_ports {gpio_oeb[22]}] -set_load 0.14 [get_ports {gpio_oeb[23]}] -set_load 0.14 [get_ports {gpio_oeb[24]}] -set_load 0.14 [get_ports {gpio_oeb[25]}] -set_load 0.14 [get_ports {gpio_oeb[26]}] -set_load 0.14 [get_ports {gpio_oeb[27]}] -set_load 0.14 [get_ports {gpio_oeb[28]}] -set_load 0.14 [get_ports {gpio_oeb[29]}] -set_load 0.14 [get_ports {gpio_oeb[2]}] -set_load 0.14 [get_ports {gpio_oeb[30]}] -set_load 0.14 [get_ports {gpio_oeb[31]}] -set_load 0.14 [get_ports {gpio_oeb[32]}] -set_load 0.14 [get_ports {gpio_oeb[33]}] -set_load 0.14 [get_ports {gpio_oeb[34]}] -set_load 0.14 [get_ports {gpio_oeb[35]}] -set_load 0.14 [get_ports {gpio_oeb[36]}] -set_load 0.14 [get_ports {gpio_oeb[37]}] -set_load 0.14 [get_ports {gpio_oeb[38]}] -set_load 0.14 [get_ports {gpio_oeb[39]}] -set_load 0.14 [get_ports {gpio_oeb[3]}] -set_load 0.14 [get_ports {gpio_oeb[40]}] -set_load 0.14 [get_ports {gpio_oeb[41]}] -set_load 0.14 [get_ports {gpio_oeb[42]}] -set_load 0.14 [get_ports {gpio_oeb[43]}] -set_load 0.14 [get_ports {gpio_oeb[4]}] -set_load 0.14 [get_ports {gpio_oeb[5]}] -set_load 0.14 [get_ports {gpio_oeb[6]}] -set_load 0.14 [get_ports {gpio_oeb[7]}] -set_load 0.14 [get_ports {gpio_oeb[8]}] -set_load 0.14 [get_ports {gpio_oeb[9]}] -set_load 0.14 [get_ports {gpio_out[0]}] -set_load 0.14 [get_ports {gpio_out[10]}] -set_load 0.14 [get_ports {gpio_out[11]}] -set_load 0.14 [get_ports {gpio_out[12]}] -set_load 0.14 [get_ports {gpio_out[13]}] -set_load 0.14 [get_ports {gpio_out[14]}] -set_load 0.14 [get_ports {gpio_out[15]}] -set_load 0.14 [get_ports {gpio_out[16]}] -set_load 0.14 [get_ports {gpio_out[17]}] -set_load 0.14 [get_ports {gpio_out[18]}] -set_load 0.14 [get_ports {gpio_out[19]}] -set_load 0.14 [get_ports {gpio_out[1]}] -set_load 0.14 [get_ports {gpio_out[20]}] -set_load 0.14 [get_ports {gpio_out[21]}] -set_load 0.14 [get_ports {gpio_out[22]}] -set_load 0.14 [get_ports {gpio_out[23]}] -set_load 0.14 [get_ports {gpio_out[24]}] -set_load 0.14 [get_ports {gpio_out[25]}] -set_load 0.14 [get_ports {gpio_out[26]}] -set_load 0.14 [get_ports {gpio_out[27]}] -set_load 0.14 [get_ports {gpio_out[28]}] -set_load 0.14 [get_ports {gpio_out[29]}] -set_load 0.14 [get_ports {gpio_out[2]}] -set_load 0.14 [get_ports {gpio_out[30]}] -set_load 0.14 [get_ports {gpio_out[31]}] -set_load 0.14 [get_ports {gpio_out[32]}] -set_load 0.14 [get_ports {gpio_out[33]}] -set_load 0.14 [get_ports {gpio_out[34]}] -set_load 0.14 [get_ports {gpio_out[35]}] -set_load 0.14 [get_ports {gpio_out[36]}] -set_load 0.14 [get_ports {gpio_out[37]}] -set_load 0.14 [get_ports {gpio_out[38]}] -set_load 0.14 [get_ports {gpio_out[39]}] -set_load 0.14 [get_ports {gpio_out[3]}] -set_load 0.14 [get_ports {gpio_out[40]}] -set_load 0.14 [get_ports {gpio_out[41]}] -set_load 0.14 [get_ports {gpio_out[42]}] -set_load 0.14 [get_ports {gpio_out[43]}] -set_load 0.14 [get_ports {gpio_out[4]}] -set_load 0.14 [get_ports {gpio_out[5]}] -set_load 0.14 [get_ports {gpio_out[6]}] -set_load 0.14 [get_ports {gpio_out[7]}] -set_load 0.14 [get_ports {gpio_out[8]}] -set_load 0.14 [get_ports {gpio_out[9]}] diff --git a/openlane/openframe_project_wrapper/config.json b/openlane/openframe_project_wrapper/config.json index 424c79b..8e87cc9 100644 --- a/openlane/openframe_project_wrapper/config.json +++ b/openlane/openframe_project_wrapper/config.json @@ -1,91 +1,93 @@ { "DESIGN_NAME": "openframe_project_wrapper", "VERILOG_FILES": [ - "dir::../../verilog/rtl/openframe_project_netlists.v" + "dir::../../verilog/rtl/openframe_project_netlists.v", + "dir::../../verilog/rtl/openframe_project_wrapper.v" ], - "RUN_VERILATOR": 0, + "SYNTH_DEFINES": "PnR", + "RUN_LINTER": 0, "SYNTH_READ_BLACKBOX_LIB": 1, - "ROUTING_CORES": 1, + "ROUTING_CORES": 10, "CLOCK_PERIOD": 25, "CLOCK_PORT": "gpio_in[38]", - "CLOCK_NET": "openframe_example.gpio_in[38]", - "FP_PDN_MACRO_HOOKS": "openframe_example vccd1 vssd1 vccd1 vssd1", "MACRO_PLACEMENT_CFG": "dir::macro.cfg", "MAGIC_DEF_LABELS": 0, "VERILOG_FILES_BLACKBOX": [ - "/usr/share/pdk/sky130A/libs.ref/sky130_sram_macros/verilog/sky130_sram_2kbyte_1rw1r_32x512_8.v" + "dir::../picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v", + "dir::../../verilog/gl/picosoc.v", + "dir::../../verilog/gl/vccd1_connection.v", + "dir::../../verilog/gl/vssd1_connection.v", + "dir::../../verilog/gl/digital_locked_loop.v" ], - "EXTRA_LEFS": "/usr/share/pdk/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef", - "EXTRA_GDS_FILES": "/usr/share/pdk/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds", - "BASE_SDC_FILE": "dir::base_openframe_project_wrapper.sdc", - "IO_SYNC": 0, + "EXTRA_LEFS": [ + "dir::../../lef/picosoc.lef", + "dir::../../lef/vccd1_connection.lef", + "dir::../../lef/vssd1_connection.lef" + ], + "EXTRA_GDS_FILES": [ + "dir::../../gds/picosoc.gds", + "dir::../../gds/vccd1_connection.gds", + "dir::../../gds/vssd1_connection.gds" + ], + "EXTRA_LIBS": ["dir::../../lib/picosoc.lib"], + "EXTRA_SPEFS": [ + "picosoc", + "dir::../../signoff/picosoc/openlane-signoff/spef/picosoc.min.spef", + "dir::../../signoff/picosoc/openlane-signoff/spef/picosoc.nom.spef", + "dir::../../signoff/picosoc/openlane-signoff/spef/picosoc.max.spef", + "digital_locked_loop", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.min.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.nom.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.max.spef" + ], + "BASE_SDC_FILE": "dir::base.sdc", + "RCX_SDC_FILE": "dir::signoff.sdc", + "MAX_TRANSITION_CONSTRAINT": 0.5, + "QUIT_ON_SYNTH_CHECKS": 0, "FP_PDN_CHECK_NODES": 0, - "SYNTH_ELABORATE_ONLY": 0, "SYNTH_USE_PG_PINS_DEFINES": "USE_POWER_PINS", - "PL_RANDOM_GLB_PLACEMENT": 1, - "PL_RESIZER_DESIGN_OPTIMIZATIONS": 0, - "PL_RESIZER_TIMING_OPTIMIZATIONS": 0, - "PL_RESIZER_BUFFER_INPUT_PORTS": 0, - "FP_PDN_ENABLE_RAILS": 0, - "DIODE_INSERTION_STRATEGY": 0, - "RUN_FILL_INSERTION": 0, - "RUN_TAP_DECAP_INSERTION": 0, - "FP_PDN_VPITCH": 180, - "FP_PDN_HPITCH": 180, - "FP_PDN_VOFFSET": 5, - "FP_PDN_HOFFSET": 5, + "PL_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_MAX_WIRE_LENGTH": 500, + "GLB_RESIZER_MAX_WIRE_LENGTH": 500, + "CTS_CLK_MAX_WIRE_LENGTH": 500, + "RUN_CTS": 1, + "FP_PDN_ENABLE_RAILS": 1, + "GRT_REPAIR_ANTENNAS": 1, + "RUN_HEURISTIC_DIODE_INSERTION": 1, + "FP_PDN_VPITCH": 40, + "FP_PDN_HPITCH": 40, + "FP_PDN_VOFFSET": 18.43, + "FP_PDN_HOFFSET": 22.83, "MAGIC_ZEROIZE_ORIGIN": 0, "FP_SIZING": "absolute", "RUN_CVC": 0, - "UNIT": 2.4, - "FP_IO_VEXTEND": "expr::2 * $UNIT", - "FP_IO_HEXTEND": "expr::2 * $UNIT", - "FP_IO_VLENGTH": "expr::$UNIT", - "FP_IO_HLENGTH": "expr::$UNIT", - "FP_IO_VTHICKNESS_MULT": 4, - "FP_IO_HTHICKNESS_MULT": 4, "FP_PDN_CORE_RING": 1, - "FP_PDN_CORE_RING_VWIDTH": 3.1, - "FP_PDN_CORE_RING_HWIDTH": 3.1, - "FP_PDN_CORE_RING_VOFFSET": 12.45, - "FP_PDN_CORE_RING_HOFFSET": 12.45, - "FP_PDN_CORE_RING_VSPACING": 1.7, - "FP_PDN_CORE_RING_HSPACING": 1.7, - "FP_PDN_VWIDTH": 3.1, - "FP_PDN_HWIDTH": 3.1, - "FP_PDN_VSPACING": "expr::(5 * $FP_PDN_CORE_RING_VWIDTH)", - "FP_PDN_HSPACING": "expr::(5 * $FP_PDN_CORE_RING_HWIDTH)", + "FP_PDN_CORE_RING_VWIDTH": 20, + "FP_PDN_CORE_RING_HWIDTH": 20, + "FP_PDN_CORE_RING_VOFFSET": -4, + "FP_PDN_CORE_RING_HOFFSET": -4, + "FP_PDN_CORE_RING_VSPACING": 2.4, + "FP_PDN_CORE_RING_HSPACING": 2.4, + "FP_PDN_VWIDTH": 6.4, + "FP_PDN_HWIDTH": 6.4, + "FP_PDN_HSPACING": 3.2, + "FP_PDN_VSPACING": 3.2, "VDD_NETS": [ - "vccd1", - "vccd2", - "vdda1", - "vdda2" + "vccd1" ], "GND_NETS": [ - "vssd1", - "vssd2", - "vssa1", - "vssa2" + "vssd1" ], - "pdk::sky130*": { - "RT_MAX_LAYER": "met4", - "DIE_AREA": "0 0 3168.82 4768.82", - "FP_DEF_TEMPLATE": "dir::fixed_dont_change/openframe_project_wrapper.def", - "scl::sky130_fd_sc_hd": { - "CLOCK_PERIOD": 25 - }, - "scl::sky130_fd_sc_hdll": { - "CLOCK_PERIOD": 10 - }, - "scl::sky130_fd_sc_hs": { - "CLOCK_PERIOD": 8 - }, - "scl::sky130_fd_sc_ls": { - "CLOCK_PERIOD": 10, - "SYNTH_MAX_FANOUT": 5 - }, - "scl::sky130_fd_sc_ms": { - "CLOCK_PERIOD": 10 - } - } + "PL_TARGET_DENSITY": 0.1, + "DIE_AREA": "0 0 3166.63 4766.630", + "CORE_AREA": "40 40 3126.63 4726.630", + "RUN_IRDROP_REPORT": 0, + "FP_PDN_MACRO_HOOKS": "openframe_example vccd1 vssd1 VPWR VGND", + "FP_PDN_CFG": "dir::pdn_cfg.tcl", + "FP_DEF_TEMPLATE": "dir::fixed_dont_change/openframe_project_wrapper.def", + "RUN_MAGIC_DRC": 0, + "RUN_LVS": 0 } diff --git a/openlane/openframe_project_wrapper/fixed_dont_change/pins.def b/openlane/openframe_project_wrapper/fixed_dont_change/pins.def deleted file mode 100644 index 2bbde6f..0000000 --- a/openlane/openframe_project_wrapper/fixed_dont_change/pins.def +++ /dev/null @@ -1,2784 +0,0 @@ -VERSION 5.7 ; - NAMESCASESENSITIVE ON ; - DIVIDERCHAR "/" ; - BUSBITCHARS "()" ; - DESIGN openframe_project_wrapper ; - TECHNOLOGY sky130A ; - UNITS DISTANCE MICRONS 1000 ; - DIEAREA ( 0 0 ) ( 3166630 4766630 ) ; - -VIAS 0 ; -END VIAS - -COMPONENTS 0 ; -END COMPONENTS - -PINS 918 ; - - gpio_vtrip_sel[43] + NET gpio_vtrip_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2429490 -920 ) N ; - - gpio_analog_en[15] + NET gpio_analog_en[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2970160 4767550 ) N ; - - gpio_analog_pol[15] + NET gpio_analog_pol[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2963720 4767550 ) N ; - - gpio_analog_sel[15] + NET gpio_analog_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2948540 4767550 ) N ; - - gpio_dm0[15] + NET gpio_dm0[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2966940 4767550 ) N ; - - gpio_dm1[15] + NET gpio_dm1[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2976140 4767550 ) N ; - - gpio_dm2[15] + NET gpio_dm2[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2945320 4767550 ) N ; - - gpio_holdover[15] + NET gpio_holdover[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2942100 4767550 ) N ; - - gpio_ib_mode_sel[15] + NET gpio_ib_mode_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2926920 4767550 ) N ; - - gpio_inp_dis[15] + NET gpio_inp_dis[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2960960 4767550 ) N ; - - gpio_oeb[15] + NET gpio_oeb[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2923700 4767550 ) N ; - - gpio_out[15] + NET gpio_out[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2939340 4767550 ) N ; - - gpio_slow_sel[15] + NET gpio_slow_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2985340 4767550 ) N ; - - gpio_vtrip_sel[15] + NET gpio_vtrip_sel[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2930140 4767550 ) N ; - - gpio_in[15] + NET gpio_in[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2994540 4767550 ) N ; - - gpio_analog_en[16] + NET gpio_analog_en[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2461160 4767550 ) N ; - - gpio_analog_pol[16] + NET gpio_analog_pol[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2454720 4767550 ) N ; - - gpio_analog_sel[16] + NET gpio_analog_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2439540 4767550 ) N ; - - gpio_dm0[16] + NET gpio_dm0[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2457940 4767550 ) N ; - - gpio_dm1[16] + NET gpio_dm1[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2467140 4767550 ) N ; - - gpio_dm2[16] + NET gpio_dm2[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2436320 4767550 ) N ; - - gpio_holdover[16] + NET gpio_holdover[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2433100 4767550 ) N ; - - gpio_ib_mode_sel[16] + NET gpio_ib_mode_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2417920 4767550 ) N ; - - gpio_inp_dis[16] + NET gpio_inp_dis[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2451960 4767550 ) N ; - - gpio_oeb[16] + NET gpio_oeb[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2414700 4767550 ) N ; - - gpio_out[16] + NET gpio_out[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2430340 4767550 ) N ; - - gpio_slow_sel[16] + NET gpio_slow_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2476340 4767550 ) N ; - - gpio_vtrip_sel[16] + NET gpio_vtrip_sel[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2421140 4767550 ) N ; - - gpio_in[16] + NET gpio_in[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2485540 4767550 ) N ; - - gpio_dm1[17] + NET gpio_dm1[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2210140 4767550 ) N ; - - gpio_dm2[17] + NET gpio_dm2[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2179320 4767550 ) N ; - - gpio_holdover[17] + NET gpio_holdover[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2176100 4767550 ) N ; - - gpio_ib_mode_sel[17] + NET gpio_ib_mode_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2160920 4767550 ) N ; - - gpio_inp_dis[17] + NET gpio_inp_dis[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2194960 4767550 ) N ; - - gpio_oeb[17] + NET gpio_oeb[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2157700 4767550 ) N ; - - gpio_out[17] + NET gpio_out[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2173340 4767550 ) N ; - - gpio_slow_sel[17] + NET gpio_slow_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2219340 4767550 ) N ; - - gpio_vtrip_sel[17] + NET gpio_vtrip_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2164140 4767550 ) N ; - - gpio_in[17] + NET gpio_in[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2228540 4767550 ) N ; - - gpio_analog_en[18] + NET gpio_analog_en[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1759160 4767550 ) N ; - - gpio_analog_pol[18] + NET gpio_analog_pol[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1752720 4767550 ) N ; - - gpio_analog_sel[18] + NET gpio_analog_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1737540 4767550 ) N ; - - gpio_dm0[18] + NET gpio_dm0[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1755940 4767550 ) N ; - - gpio_dm1[18] + NET gpio_dm1[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1765140 4767550 ) N ; - - gpio_dm2[18] + NET gpio_dm2[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1734320 4767550 ) N ; - - gpio_holdover[18] + NET gpio_holdover[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1731100 4767550 ) N ; - - gpio_ib_mode_sel[18] + NET gpio_ib_mode_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1715920 4767550 ) N ; - - gpio_inp_dis[18] + NET gpio_inp_dis[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1749960 4767550 ) N ; - - gpio_oeb[18] + NET gpio_oeb[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1712700 4767550 ) N ; - - gpio_out[18] + NET gpio_out[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1728340 4767550 ) N ; - - gpio_slow_sel[18] + NET gpio_slow_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1774340 4767550 ) N ; - - gpio_vtrip_sel[18] + NET gpio_vtrip_sel[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1719140 4767550 ) N ; - - gpio_in[18] + NET gpio_in[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1783540 4767550 ) N ; - - gpio_analog_en[17] + NET gpio_analog_en[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2204160 4767550 ) N ; - - gpio_analog_pol[17] + NET gpio_analog_pol[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2197720 4767550 ) N ; - - gpio_analog_sel[17] + NET gpio_analog_sel[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2182540 4767550 ) N ; - - gpio_dm0[17] + NET gpio_dm0[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2200940 4767550 ) N ; - - gpio_slow_sel[19] + NET gpio_slow_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1265340 4767550 ) N ; - - gpio_vtrip_sel[19] + NET gpio_vtrip_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1210140 4767550 ) N ; - - gpio_in[19] + NET gpio_in[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1274540 4767550 ) N ; - - gpio_analog_en[20] + NET gpio_analog_en[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 992160 4767550 ) N ; - - gpio_analog_pol[20] + NET gpio_analog_pol[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 985720 4767550 ) N ; - - gpio_analog_sel[20] + NET gpio_analog_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 970540 4767550 ) N ; - - gpio_dm0[20] + NET gpio_dm0[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 988940 4767550 ) N ; - - gpio_dm1[20] + NET gpio_dm1[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 998140 4767550 ) N ; - - gpio_dm2[20] + NET gpio_dm2[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 967320 4767550 ) N ; - - gpio_holdover[20] + NET gpio_holdover[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 964100 4767550 ) N ; - - gpio_ib_mode_sel[20] + NET gpio_ib_mode_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 948920 4767550 ) N ; - - gpio_inp_dis[20] + NET gpio_inp_dis[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 982960 4767550 ) N ; - - gpio_oeb[20] + NET gpio_oeb[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 945700 4767550 ) N ; - - gpio_out[20] + NET gpio_out[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 961340 4767550 ) N ; - - gpio_slow_sel[20] + NET gpio_slow_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1007340 4767550 ) N ; - - gpio_vtrip_sel[20] + NET gpio_vtrip_sel[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 952140 4767550 ) N ; - - gpio_in[20] + NET gpio_in[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1016540 4767550 ) N ; - - gpio_analog_en[19] + NET gpio_analog_en[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1250160 4767550 ) N ; - - gpio_analog_pol[19] + NET gpio_analog_pol[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1243720 4767550 ) N ; - - gpio_analog_sel[19] + NET gpio_analog_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1228540 4767550 ) N ; - - gpio_dm0[19] + NET gpio_dm0[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1246940 4767550 ) N ; - - gpio_dm1[19] + NET gpio_dm1[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1256140 4767550 ) N ; - - gpio_dm2[19] + NET gpio_dm2[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1225320 4767550 ) N ; - - gpio_holdover[19] + NET gpio_holdover[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1222100 4767550 ) N ; - - gpio_ib_mode_sel[19] + NET gpio_ib_mode_sel[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1206920 4767550 ) N ; - - gpio_inp_dis[19] + NET gpio_inp_dis[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1240960 4767550 ) N ; - - gpio_oeb[19] + NET gpio_oeb[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1203700 4767550 ) N ; - - gpio_out[19] + NET gpio_out[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1219340 4767550 ) N ; - - gpio_in[21] + NET gpio_in[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 759540 4767550 ) N ; - - gpio_analog_en[22] + NET gpio_analog_en[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 478160 4767550 ) N ; - - gpio_analog_pol[22] + NET gpio_analog_pol[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 471720 4767550 ) N ; - - gpio_analog_sel[22] + NET gpio_analog_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 456540 4767550 ) N ; - - gpio_dm0[22] + NET gpio_dm0[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 474940 4767550 ) N ; - - gpio_dm1[22] + NET gpio_dm1[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 484140 4767550 ) N ; - - gpio_dm2[22] + NET gpio_dm2[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 453320 4767550 ) N ; - - gpio_holdover[22] + NET gpio_holdover[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 450100 4767550 ) N ; - - gpio_ib_mode_sel[22] + NET gpio_ib_mode_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 434920 4767550 ) N ; - - gpio_inp_dis[22] + NET gpio_inp_dis[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 468960 4767550 ) N ; - - gpio_oeb[22] + NET gpio_oeb[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 431700 4767550 ) N ; - - gpio_out[22] + NET gpio_out[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 447340 4767550 ) N ; - - gpio_slow_sel[22] + NET gpio_slow_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 493340 4767550 ) N ; - - gpio_vtrip_sel[22] + NET gpio_vtrip_sel[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 438140 4767550 ) N ; - - gpio_in[22] + NET gpio_in[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 502540 4767550 ) N ; - - gpio_analog_en[23] + NET gpio_analog_en[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 221160 4767550 ) N ; - - gpio_analog_pol[23] + NET gpio_analog_pol[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 214720 4767550 ) N ; - - gpio_analog_sel[23] + NET gpio_analog_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 199540 4767550 ) N ; - - gpio_dm0[23] + NET gpio_dm0[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 217940 4767550 ) N ; - - gpio_dm1[23] + NET gpio_dm1[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 227140 4767550 ) N ; - - gpio_dm2[23] + NET gpio_dm2[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 196320 4767550 ) N ; - - gpio_holdover[23] + NET gpio_holdover[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 193100 4767550 ) N ; - - gpio_ib_mode_sel[23] + NET gpio_ib_mode_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 177920 4767550 ) N ; - - gpio_inp_dis[23] + NET gpio_inp_dis[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 211960 4767550 ) N ; - - gpio_oeb[23] + NET gpio_oeb[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 174700 4767550 ) N ; - - gpio_out[23] + NET gpio_out[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 190340 4767550 ) N ; - - gpio_slow_sel[23] + NET gpio_slow_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 236340 4767550 ) N ; - - gpio_vtrip_sel[23] + NET gpio_vtrip_sel[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 181140 4767550 ) N ; - - gpio_in[23] + NET gpio_in[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 245540 4767550 ) N ; - - gpio_analog_en[21] + NET gpio_analog_en[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 735160 4767550 ) N ; - - gpio_analog_pol[21] + NET gpio_analog_pol[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 728720 4767550 ) N ; - - gpio_analog_sel[21] + NET gpio_analog_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 713540 4767550 ) N ; - - gpio_dm0[21] + NET gpio_dm0[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 731940 4767550 ) N ; - - gpio_dm1[21] + NET gpio_dm1[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 741140 4767550 ) N ; - - gpio_dm2[21] + NET gpio_dm2[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 710320 4767550 ) N ; - - gpio_holdover[21] + NET gpio_holdover[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 707100 4767550 ) N ; - - gpio_ib_mode_sel[21] + NET gpio_ib_mode_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 691920 4767550 ) N ; - - gpio_inp_dis[21] + NET gpio_inp_dis[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 725960 4767550 ) N ; - - gpio_oeb[21] + NET gpio_oeb[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 688700 4767550 ) N ; - - gpio_out[21] + NET gpio_out[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 704340 4767550 ) N ; - - gpio_slow_sel[21] + NET gpio_slow_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 750340 4767550 ) N ; - - gpio_vtrip_sel[21] + NET gpio_vtrip_sel[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 695140 4767550 ) N ; - - gpio_in[38] + NET gpio_in[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 726090 -920 ) N ; - - gpio_slow_sel[38] + NET gpio_slow_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 735290 -920 ) N ; - - gpio_dm0[38] + NET gpio_dm0[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 744490 -920 ) N ; - - gpio_dm1[38] + NET gpio_dm1[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 753690 -920 ) N ; - - gpio_analog_pol[38] + NET gpio_analog_pol[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 756910 -920 ) N ; - - gpio_analog_en[38] + NET gpio_analog_en[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 750470 -920 ) N ; - - gpio_inp_dis[38] + NET gpio_inp_dis[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 759670 -920 ) N ; - - gpio_analog_sel[38] + NET gpio_analog_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 772090 -920 ) N ; - - gpio_dm2[38] + NET gpio_dm2[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 775310 -920 ) N ; - - gpio_holdover[38] + NET gpio_holdover[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 778530 -920 ) N ; - - gpio_out[38] + NET gpio_out[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 781290 -920 ) N ; - - gpio_vtrip_sel[38] + NET gpio_vtrip_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 790490 -920 ) N ; - - gpio_ib_mode_sel[38] + NET gpio_ib_mode_sel[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 793710 -920 ) N ; - - gpio_oeb[38] + NET gpio_oeb[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 796930 -920 ) N ; - - gpio_in[39] + NET gpio_in[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1269090 -920 ) N ; - - gpio_slow_sel[39] + NET gpio_slow_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1278290 -920 ) N ; - - gpio_dm1[39] + NET gpio_dm1[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1287490 -920 ) N ; - - gpio_dm0[39] + NET gpio_dm0[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1296690 -920 ) N ; - - gpio_analog_pol[39] + NET gpio_analog_pol[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1299910 -920 ) N ; - - gpio_analog_en[39] + NET gpio_analog_en[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1293470 -920 ) N ; - - gpio_inp_dis[39] + NET gpio_inp_dis[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1302670 -920 ) N ; - - gpio_analog_sel[39] + NET gpio_analog_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1315090 -920 ) N ; - - gpio_dm2[39] + NET gpio_dm2[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1318310 -920 ) N ; - - gpio_holdover[39] + NET gpio_holdover[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1321530 -920 ) N ; - - gpio_out[39] + NET gpio_out[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1324290 -920 ) N ; - - gpio_vtrip_sel[39] + NET gpio_vtrip_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1333490 -920 ) N ; - - gpio_ib_mode_sel[39] + NET gpio_ib_mode_sel[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1336710 -920 ) N ; - - gpio_oeb[39] + NET gpio_oeb[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1339930 -920 ) N ; - - gpio_in[40] + NET gpio_in[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1543090 -920 ) N ; - - gpio_slow_sel[40] + NET gpio_slow_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1552290 -920 ) N ; - - gpio_dm1[40] + NET gpio_dm1[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1561490 -920 ) N ; - - gpio_dm0[40] + NET gpio_dm0[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1570690 -920 ) N ; - - gpio_analog_pol[40] + NET gpio_analog_pol[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1573910 -920 ) N ; - - gpio_analog_en[40] + NET gpio_analog_en[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1567470 -920 ) N ; - - gpio_inp_dis[40] + NET gpio_inp_dis[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1576670 -920 ) N ; - - gpio_analog_sel[40] + NET gpio_analog_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1589090 -920 ) N ; - - gpio_dm2[40] + NET gpio_dm2[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1592310 -920 ) N ; - - gpio_holdover[40] + NET gpio_holdover[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1595530 -920 ) N ; - - gpio_out[40] + NET gpio_out[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1598290 -920 ) N ; - - gpio_vtrip_sel[40] + NET gpio_vtrip_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1607490 -920 ) N ; - - gpio_ib_mode_sel[40] + NET gpio_ib_mode_sel[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1610710 -920 ) N ; - - gpio_oeb[40] + NET gpio_oeb[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1613930 -920 ) N ; - - gpio_in[41] + NET gpio_in[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1817090 -920 ) N ; - - gpio_slow_sel[41] + NET gpio_slow_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1826290 -920 ) N ; - - gpio_dm1[41] + NET gpio_dm1[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1835490 -920 ) N ; - - gpio_dm0[41] + NET gpio_dm0[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1844690 -920 ) N ; - - gpio_analog_pol[41] + NET gpio_analog_pol[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1847910 -920 ) N ; - - gpio_analog_en[41] + NET gpio_analog_en[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1841470 -920 ) N ; - - gpio_inp_dis[41] + NET gpio_inp_dis[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1850670 -920 ) N ; - - gpio_analog_sel[41] + NET gpio_analog_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1863090 -920 ) N ; - - gpio_dm2[41] + NET gpio_dm2[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1866310 -920 ) N ; - - gpio_holdover[41] + NET gpio_holdover[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1869530 -920 ) N ; - - gpio_out[41] + NET gpio_out[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1872290 -920 ) N ; - - gpio_vtrip_sel[41] + NET gpio_vtrip_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1881490 -920 ) N ; - - gpio_ib_mode_sel[41] + NET gpio_ib_mode_sel[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1884710 -920 ) N ; - - gpio_oeb[41] + NET gpio_oeb[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1887930 -920 ) N ; - - gpio_in[42] + NET gpio_in[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2091090 -920 ) N ; - - gpio_slow_sel[42] + NET gpio_slow_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2100290 -920 ) N ; - - gpio_dm1[42] + NET gpio_dm1[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2109490 -920 ) N ; - - gpio_dm0[42] + NET gpio_dm0[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2118690 -920 ) N ; - - gpio_analog_pol[42] + NET gpio_analog_pol[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2121910 -920 ) N ; - - gpio_analog_en[42] + NET gpio_analog_en[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2115470 -920 ) N ; - - gpio_inp_dis[42] + NET gpio_inp_dis[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2124670 -920 ) N ; - - gpio_analog_sel[42] + NET gpio_analog_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2137090 -920 ) N ; - - gpio_dm2[42] + NET gpio_dm2[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2140310 -920 ) N ; - - gpio_holdover[42] + NET gpio_holdover[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2143530 -920 ) N ; - - gpio_out[42] + NET gpio_out[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2146290 -920 ) N ; - - gpio_vtrip_sel[42] + NET gpio_vtrip_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2155490 -920 ) N ; - - gpio_ib_mode_sel[42] + NET gpio_ib_mode_sel[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2158710 -920 ) N ; - - gpio_oeb[42] + NET gpio_oeb[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2161930 -920 ) N ; - - gpio_in[43] + NET gpio_in[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2365090 -920 ) N ; - - gpio_slow_sel[43] + NET gpio_slow_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2374290 -920 ) N ; - - gpio_dm1[43] + NET gpio_dm1[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2383490 -920 ) N ; - - gpio_dm0[43] + NET gpio_dm0[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2392690 -920 ) N ; - - gpio_analog_pol[43] + NET gpio_analog_pol[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2395910 -920 ) N ; - - gpio_analog_en[43] + NET gpio_analog_en[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2389470 -920 ) N ; - - gpio_inp_dis[43] + NET gpio_inp_dis[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2398670 -920 ) N ; - - gpio_analog_sel[43] + NET gpio_analog_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2411090 -920 ) N ; - - gpio_dm2[43] + NET gpio_dm2[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2414310 -920 ) N ; - - gpio_holdover[43] + NET gpio_holdover[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2417530 -920 ) N ; - - gpio_out[43] + NET gpio_out[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2420290 -920 ) N ; - - gpio_ib_mode_sel[43] + NET gpio_ib_mode_sel[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2432710 -920 ) N ; - - gpio_oeb[43] + NET gpio_oeb[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2435930 -920 ) N ; - - gpio_in_h[15] + NET gpio_in_h[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2920940 4767550 ) N ; - - gpio_in_h[16] + NET gpio_in_h[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2411940 4767550 ) N ; - - gpio_in_h[17] + NET gpio_in_h[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2154940 4767550 ) N ; - - gpio_in_h[18] + NET gpio_in_h[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1709940 4767550 ) N ; - - gpio_in_h[19] + NET gpio_in_h[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1200940 4767550 ) N ; - - gpio_in_h[20] + NET gpio_in_h[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 942940 4767550 ) N ; - - gpio_in_h[21] + NET gpio_in_h[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 685940 4767550 ) N ; - - gpio_in_h[22] + NET gpio_in_h[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 428940 4767550 ) N ; - - gpio_in_h[23] + NET gpio_in_h[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 171940 4767550 ) N ; - - gpio_in_h[38] + NET gpio_in_h[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 799690 -920 ) N ; - - gpio_in_h[39] + NET gpio_in_h[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1342690 -920 ) N ; - - gpio_in_h[40] + NET gpio_in_h[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1616690 -920 ) N ; - - gpio_in_h[41] + NET gpio_in_h[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1890690 -920 ) N ; - - gpio_in_h[42] + NET gpio_in_h[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2164690 -920 ) N ; - - gpio_in_h[43] + NET gpio_in_h[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2438690 -920 ) N ; - - analog_io[15] + NET analog_io[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2982120 4767550 ) N ; - - analog_io[16] + NET analog_io[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2473120 4767550 ) N ; - - analog_io[17] + NET analog_io[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2216120 4767550 ) N ; - - analog_io[18] + NET analog_io[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1771120 4767550 ) N ; - - analog_io[19] + NET analog_io[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1262120 4767550 ) N ; - - analog_io[20] + NET analog_io[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1004120 4767550 ) N ; - - analog_io[21] + NET analog_io[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 747120 4767550 ) N ; - - analog_io[22] + NET analog_io[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 490120 4767550 ) N ; - - analog_io[23] + NET analog_io[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 233120 4767550 ) N ; - - analog_io[38] + NET analog_io[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 738510 -920 ) N ; - - analog_io[39] + NET analog_io[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1281510 -920 ) N ; - - analog_io[40] + NET analog_io[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1555510 -920 ) N ; - - analog_io[41] + NET analog_io[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1829510 -920 ) N ; - - analog_io[42] + NET analog_io[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2103510 -920 ) N ; - - analog_io[43] + NET analog_io[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2377510 -920 ) N ; - - analog_noesd_io[15] + NET analog_noesd_io[15] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2972920 4767550 ) N ; - - analog_noesd_io[16] + NET analog_noesd_io[16] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2463920 4767550 ) N ; - - analog_noesd_io[17] + NET analog_noesd_io[17] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2206920 4767550 ) N ; - - analog_noesd_io[18] + NET analog_noesd_io[18] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1761920 4767550 ) N ; - - analog_noesd_io[19] + NET analog_noesd_io[19] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1252920 4767550 ) N ; - - analog_noesd_io[20] + NET analog_noesd_io[20] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 994920 4767550 ) N ; - - analog_noesd_io[21] + NET analog_noesd_io[21] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 737920 4767550 ) N ; - - analog_noesd_io[22] + NET analog_noesd_io[22] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 480920 4767550 ) N ; - - analog_noesd_io[23] + NET analog_noesd_io[23] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 223920 4767550 ) N ; - - analog_noesd_io[38] + NET analog_noesd_io[38] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 747710 -920 ) N ; - - analog_noesd_io[39] + NET analog_noesd_io[39] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1290710 -920 ) N ; - - analog_noesd_io[40] + NET analog_noesd_io[40] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1564710 -920 ) N ; - - analog_noesd_io[41] + NET analog_noesd_io[41] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 1838710 -920 ) N ; - - analog_noesd_io[42] + NET analog_noesd_io[42] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2112710 -920 ) N ; - - analog_noesd_io[43] + NET analog_noesd_io[43] - + PORT - + LAYER met2 ( -140 -1200 ) ( 140 1200 ) + PLACED ( 2386710 -920 ) N ; - - gpio_loopback_one[43] + NET gpio_loopback_one[43] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2442030 -510 ) N ; - - gpio_loopback_zero[43] + NET gpio_loopback_zero[43] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2463305 -510 ) N ; - - gpio_loopback_one[42] + NET gpio_loopback_one[42] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2168030 -510 ) N ; - - gpio_loopback_zero[42] + NET gpio_loopback_zero[42] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 2189020 -510 ) N ; - - gpio_loopback_one[41] + NET gpio_loopback_one[41] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1894030 -510 ) N ; - - gpio_loopback_zero[41] + NET gpio_loopback_zero[41] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1915020 -510 ) N ; - - gpio_loopback_one[40] + NET gpio_loopback_one[40] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1620030 -510 ) N ; - - gpio_loopback_zero[40] + NET gpio_loopback_zero[40] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1640955 -620 ) N ; - - gpio_loopback_one[39] + NET gpio_loopback_one[39] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1346030 -510 ) N ; - - gpio_loopback_zero[39] + NET gpio_loopback_zero[39] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 1366930 -510 ) N ; - - gpio_loopback_one[38] + NET gpio_loopback_one[38] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 803030 -510 ) N ; - - gpio_loopback_zero[38] + NET gpio_loopback_zero[38] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 819085 -505 ) N ; - - resetb_l + NET resetb_l - + PORT - + LAYER met2 ( -140 -430 ) ( 140 430 ) + PLACED ( 550960 -150 ) N ; - - resetb_h + NET resetb_h - + PORT - + LAYER met2 ( -165 -365 ) ( 165 365 ) + PLACED ( 498020 -85 ) N ; - - mask_rev[0] + NET mask_rev[0] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3025540 -510 ) N ; - - mask_rev[4] + NET mask_rev[4] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3030020 -510 ) N ; - - mask_rev[5] + NET mask_rev[5] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3031140 -510 ) N ; - - mask_rev[6] + NET mask_rev[6] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3032260 -510 ) N ; - - mask_rev[7] + NET mask_rev[7] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3033380 -510 ) N ; - - mask_rev[8] + NET mask_rev[8] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3034500 -510 ) N ; - - mask_rev[9] + NET mask_rev[9] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3035620 -510 ) N ; - - mask_rev[10] + NET mask_rev[10] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3036740 -510 ) N ; - - mask_rev[11] + NET mask_rev[11] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3037860 -510 ) N ; - - mask_rev[12] + NET mask_rev[12] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3038980 -510 ) N ; - - mask_rev[13] + NET mask_rev[13] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3040100 -510 ) N ; - - mask_rev[14] + NET mask_rev[14] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3041220 -510 ) N ; - - mask_rev[15] + NET mask_rev[15] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3042340 -510 ) N ; - - mask_rev[16] + NET mask_rev[16] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3043460 -510 ) N ; - - mask_rev[17] + NET mask_rev[17] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3044580 -510 ) N ; - - mask_rev[18] + NET mask_rev[18] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3045700 -510 ) N ; - - mask_rev[19] + NET mask_rev[19] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3046820 -510 ) N ; - - mask_rev[20] + NET mask_rev[20] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3047940 -510 ) N ; - - mask_rev[21] + NET mask_rev[21] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3049060 -510 ) N ; - - mask_rev[22] + NET mask_rev[22] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3050180 -510 ) N ; - - mask_rev[23] + NET mask_rev[23] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3051300 -510 ) N ; - - mask_rev[24] + NET mask_rev[24] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3052420 -510 ) N ; - - mask_rev[25] + NET mask_rev[25] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3053540 -510 ) N ; - - mask_rev[26] + NET mask_rev[26] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3054660 -510 ) N ; - - mask_rev[27] + NET mask_rev[27] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3055780 -510 ) N ; - - mask_rev[28] + NET mask_rev[28] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3056900 -510 ) N ; - - mask_rev[29] + NET mask_rev[29] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3058020 -510 ) N ; - - mask_rev[30] + NET mask_rev[30] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3059140 -510 ) N ; - - mask_rev[31] + NET mask_rev[31] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3060260 -510 ) N ; - - mask_rev[3] + NET mask_rev[3] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3028900 -510 ) N ; - - mask_rev[2] + NET mask_rev[2] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3027780 -510 ) N ; - - mask_rev[1] + NET mask_rev[1] - + PORT - + LAYER met2 ( -130 -790 ) ( 130 790 ) + PLACED ( 3026660 -510 ) N ; - - gpio_vtrip_sel[37] + NET gpio_vtrip_sel[37] - + PORT - + LAYER met3 ( -1200 -140 ) ( 1200 140 ) + PLACED ( -920 708140 ) N ; - - vccd1 + NET vccd1 - + PORT - + LAYER met3 ( -1250 -11627.5 ) ( 1250 11627.5 ) + PLACED ( 3167600 2125687.5 ) N ; - - vssd1 + NET vssd1 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( 3167600 2150815 ) N ; - - vssd1 + NET vssd1 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( 3167600 2100587.5 ) N ; - - vssd1 + NET vssd1 - + PORT - + LAYER met3 ( -1250 -11655 ) ( 1250 11655 ) + PLACED ( 3167600 4364710 ) N ; - - vccd1 + NET vccd1 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( 3167600 4389815 ) N ; - - vccd1 + NET vccd1 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( 3167600 4339587.5 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 3943870 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 3893975 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 2370870 ) N ; - - vdda1 + NET vdda1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 2320975 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 1929870 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( 3167600 1879975 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2729655 4767600 ) N ; - - vssa1 + NET vssa1 - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2679760 4767600 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 1518655 4767600 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 1468760 4767600 ) N ; - - vssd2 + NET vssd2 - + PORT - + LAYER met3 ( -1250 -11655 ) ( 1250 11655 ) + PLACED ( -970 4386920 ) N ; - - vccd2 + NET vccd2 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( -970 4412042.5 ) N ; - - vccd2 + NET vccd2 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( -970 4361815 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 4200655 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 4150760 ) N ; - - vssa2 + NET vssa2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 3989655 ) N ; - - vssa2 + NET vssa2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 3939760 ) N ; - - vdda2 + NET vdda2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 2266655 ) N ; - - vdda2 + NET vdda2 - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 2216760 ) N ; - - vccd2 + NET vccd2 - + PORT - + LAYER met3 ( -1250 -11627.5 ) ( 1250 11627.5 ) + PLACED ( -970 2030942.5 ) N ; - - vssd2 + NET vssd2 - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( -970 2056042.5 ) N ; - - vssd2 + NET vssd2 - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( -970 2005815 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 402655 ) N ; - - vddio + NET vddio - + PORT - + LAYER met3 ( -1250 -11950 ) ( 1250 11950 ) + PLACED ( -970 352760 ) N ; - - vccd + NET vccd - + PORT - + LAYER met3 ( -1250 -11972.5 ) ( 1250 11972.5 ) + PLACED ( -970 192042.5 ) N ; - - vccd + NET vccd - + PORT - + LAYER met3 ( -1250 -12000 ) ( 1250 12000 ) + PLACED ( -970 141815 ) N ; - - vssa + NET vssa - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 245870 -965 ) N ; - - vssa + NET vssa - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 195975 -970 ) N ; - - vssd + NET vssd - + PORT - + LAYER met3 ( -12000 -1250 ) ( 12000 1250 ) + PLACED ( 1057815 -970 ) N ; - - vssd + NET vssd - + PORT - + LAYER met3 ( -11572.5 -1250 ) ( 11572.5 1250 ) + PLACED ( 1007987.5 -970 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2696870 -970 ) N ; - - vssio + NET vssio - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2646975 -970 ) N ; - - vdda + NET vdda - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2915975 -970 ) N ; - - vdda + NET vdda - + PORT - + LAYER met3 ( -11950 -1250 ) ( 11950 1250 ) + PLACED ( 2965870 -970 ) N ; - - gpio_loopback_one[0] + NET gpio_loopback_one[0] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 380180 ) N ; - - gpio_loopback_one[1] + NET gpio_loopback_one[1] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 605180 ) N ; - - gpio_loopback_one[2] + NET gpio_loopback_one[2] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 830180 ) N ; - - gpio_loopback_zero[2] + NET gpio_loopback_zero[2] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 840190 ) N ; - - gpio_loopback_zero[1] + NET gpio_loopback_zero[1] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 615190 ) N ; - - gpio_loopback_zero[0] + NET gpio_loopback_zero[0] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 390190 ) N ; - - gpio_analog_en[12] + NET gpio_analog_en[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3684470 ) N ; - - gpio_analog_pol[12] + NET gpio_analog_pol[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3690910 ) N ; - - gpio_analog_sel[12] + NET gpio_analog_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3706090 ) N ; - - gpio_dm0[12] + NET gpio_dm0[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3687690 ) N ; - - gpio_dm1[12] + NET gpio_dm1[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3678490 ) N ; - - gpio_dm2[12] + NET gpio_dm2[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3709310 ) N ; - - gpio_holdover[12] + NET gpio_holdover[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3712530 ) N ; - - gpio_ib_mode_sel[12] + NET gpio_ib_mode_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3727710 ) N ; - - gpio_inp_dis[12] + NET gpio_inp_dis[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3693670 ) N ; - - gpio_oeb[12] + NET gpio_oeb[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3730930 ) N ; - - gpio_out[12] + NET gpio_out[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3715290 ) N ; - - gpio_slow_sel[12] + NET gpio_slow_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3669290 ) N ; - - gpio_vtrip_sel[12] + NET gpio_vtrip_sel[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3724490 ) N ; - - gpio_in[12] + NET gpio_in[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3660090 ) N ; - - gpio_analog_en[13] + NET gpio_analog_en[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4130470 ) N ; - - gpio_analog_pol[13] + NET gpio_analog_pol[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4136910 ) N ; - - gpio_analog_sel[13] + NET gpio_analog_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4152090 ) N ; - - gpio_dm0[13] + NET gpio_dm0[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4133690 ) N ; - - gpio_dm1[13] + NET gpio_dm1[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4124490 ) N ; - - gpio_dm2[13] + NET gpio_dm2[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4155310 ) N ; - - gpio_holdover[13] + NET gpio_holdover[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4158530 ) N ; - - gpio_ib_mode_sel[13] + NET gpio_ib_mode_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4173710 ) N ; - - gpio_inp_dis[13] + NET gpio_inp_dis[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4139670 ) N ; - - gpio_oeb[13] + NET gpio_oeb[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4176930 ) N ; - - gpio_out[13] + NET gpio_out[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4161290 ) N ; - - gpio_slow_sel[13] + NET gpio_slow_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4115290 ) N ; - - gpio_vtrip_sel[13] + NET gpio_vtrip_sel[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4170490 ) N ; - - gpio_in[13] + NET gpio_in[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4106090 ) N ; - - gpio_analog_en[14] + NET gpio_analog_en[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4576470 ) N ; - - gpio_analog_pol[14] + NET gpio_analog_pol[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4582910 ) N ; - - gpio_analog_sel[14] + NET gpio_analog_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4598090 ) N ; - - gpio_dm0[14] + NET gpio_dm0[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4579690 ) N ; - - gpio_dm1[14] + NET gpio_dm1[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4570490 ) N ; - - gpio_dm2[14] + NET gpio_dm2[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4601310 ) N ; - - gpio_holdover[14] + NET gpio_holdover[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4604530 ) N ; - - gpio_ib_mode_sel[14] + NET gpio_ib_mode_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4619710 ) N ; - - gpio_inp_dis[14] + NET gpio_inp_dis[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4585670 ) N ; - - gpio_oeb[14] + NET gpio_oeb[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4622930 ) N ; - - gpio_out[14] + NET gpio_out[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4607290 ) N ; - - gpio_slow_sel[14] + NET gpio_slow_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4561290 ) N ; - - gpio_vtrip_sel[14] + NET gpio_vtrip_sel[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4616490 ) N ; - - gpio_in[14] + NET gpio_in[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4552090 ) N ; - - gpio_holdover[11] + NET gpio_holdover[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3487530 ) N ; - - gpio_ib_mode_sel[11] + NET gpio_ib_mode_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3502710 ) N ; - - gpio_inp_dis[11] + NET gpio_inp_dis[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3468670 ) N ; - - gpio_oeb[11] + NET gpio_oeb[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3505930 ) N ; - - gpio_out[11] + NET gpio_out[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3490290 ) N ; - - gpio_slow_sel[11] + NET gpio_slow_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3444290 ) N ; - - gpio_vtrip_sel[11] + NET gpio_vtrip_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3499490 ) N ; - - gpio_in[11] + NET gpio_in[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3435090 ) N ; - - gpio_analog_en[10] + NET gpio_analog_en[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3234470 ) N ; - - gpio_analog_pol[10] + NET gpio_analog_pol[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3240910 ) N ; - - gpio_analog_sel[10] + NET gpio_analog_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3256090 ) N ; - - gpio_dm0[10] + NET gpio_dm0[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3237690 ) N ; - - gpio_dm1[10] + NET gpio_dm1[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3228490 ) N ; - - gpio_dm2[10] + NET gpio_dm2[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3259310 ) N ; - - gpio_holdover[10] + NET gpio_holdover[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3262530 ) N ; - - gpio_ib_mode_sel[10] + NET gpio_ib_mode_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3277710 ) N ; - - gpio_inp_dis[10] + NET gpio_inp_dis[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3243670 ) N ; - - gpio_oeb[10] + NET gpio_oeb[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3280930 ) N ; - - gpio_out[10] + NET gpio_out[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3265290 ) N ; - - gpio_slow_sel[10] + NET gpio_slow_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3219290 ) N ; - - gpio_vtrip_sel[10] + NET gpio_vtrip_sel[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3274490 ) N ; - - gpio_in[10] + NET gpio_in[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3210090 ) N ; - - gpio_analog_en[7] + NET gpio_analog_en[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2557470 ) N ; - - gpio_analog_pol[7] + NET gpio_analog_pol[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2563910 ) N ; - - gpio_analog_sel[7] + NET gpio_analog_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2579090 ) N ; - - gpio_dm0[7] + NET gpio_dm0[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2560690 ) N ; - - gpio_dm1[7] + NET gpio_dm1[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2551490 ) N ; - - gpio_dm2[7] + NET gpio_dm2[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2582310 ) N ; - - gpio_holdover[7] + NET gpio_holdover[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2585530 ) N ; - - gpio_ib_mode_sel[7] + NET gpio_ib_mode_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2600710 ) N ; - - gpio_inp_dis[7] + NET gpio_inp_dis[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2566670 ) N ; - - gpio_oeb[7] + NET gpio_oeb[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2603930 ) N ; - - gpio_out[7] + NET gpio_out[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2588290 ) N ; - - gpio_slow_sel[7] + NET gpio_slow_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2542290 ) N ; - - gpio_vtrip_sel[7] + NET gpio_vtrip_sel[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2597490 ) N ; - - gpio_in[7] + NET gpio_in[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2533090 ) N ; - - gpio_analog_en[8] + NET gpio_analog_en[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2783470 ) N ; - - gpio_analog_pol[8] + NET gpio_analog_pol[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2789910 ) N ; - - gpio_analog_sel[8] + NET gpio_analog_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2805090 ) N ; - - gpio_dm0[8] + NET gpio_dm0[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2786690 ) N ; - - gpio_dm1[8] + NET gpio_dm1[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2777490 ) N ; - - gpio_dm2[8] + NET gpio_dm2[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2808310 ) N ; - - gpio_holdover[8] + NET gpio_holdover[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2811530 ) N ; - - gpio_ib_mode_sel[8] + NET gpio_ib_mode_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2826710 ) N ; - - gpio_inp_dis[8] + NET gpio_inp_dis[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2792670 ) N ; - - gpio_oeb[8] + NET gpio_oeb[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2829930 ) N ; - - gpio_out[8] + NET gpio_out[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2814290 ) N ; - - gpio_slow_sel[8] + NET gpio_slow_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2768290 ) N ; - - gpio_vtrip_sel[8] + NET gpio_vtrip_sel[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2823490 ) N ; - - gpio_in[8] + NET gpio_in[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2759090 ) N ; - - gpio_analog_en[9] + NET gpio_analog_en[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3008470 ) N ; - - gpio_analog_pol[9] + NET gpio_analog_pol[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3014910 ) N ; - - gpio_analog_sel[9] + NET gpio_analog_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3030090 ) N ; - - gpio_dm0[9] + NET gpio_dm0[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3011690 ) N ; - - gpio_dm1[9] + NET gpio_dm1[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3002490 ) N ; - - gpio_dm2[9] + NET gpio_dm2[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3033310 ) N ; - - gpio_holdover[9] + NET gpio_holdover[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3036530 ) N ; - - gpio_ib_mode_sel[9] + NET gpio_ib_mode_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3051710 ) N ; - - gpio_inp_dis[9] + NET gpio_inp_dis[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3017670 ) N ; - - gpio_oeb[9] + NET gpio_oeb[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3054930 ) N ; - - gpio_out[9] + NET gpio_out[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3039290 ) N ; - - gpio_slow_sel[9] + NET gpio_slow_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2993290 ) N ; - - gpio_vtrip_sel[9] + NET gpio_vtrip_sel[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3048490 ) N ; - - gpio_in[9] + NET gpio_in[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2984090 ) N ; - - gpio_analog_en[11] + NET gpio_analog_en[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3459470 ) N ; - - gpio_analog_pol[11] + NET gpio_analog_pol[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3465910 ) N ; - - gpio_analog_sel[11] + NET gpio_analog_sel[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3481090 ) N ; - - gpio_dm0[11] + NET gpio_dm0[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3462690 ) N ; - - gpio_dm1[11] + NET gpio_dm1[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3453490 ) N ; - - gpio_dm2[11] + NET gpio_dm2[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3484310 ) N ; - - gpio_analog_en[4] + NET gpio_analog_en[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1220470 ) N ; - - gpio_analog_pol[4] + NET gpio_analog_pol[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1226910 ) N ; - - gpio_analog_sel[4] + NET gpio_analog_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1242090 ) N ; - - gpio_dm0[4] + NET gpio_dm0[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1223690 ) N ; - - gpio_dm1[4] + NET gpio_dm1[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1214490 ) N ; - - gpio_dm2[4] + NET gpio_dm2[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1245310 ) N ; - - gpio_holdover[4] + NET gpio_holdover[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1248530 ) N ; - - gpio_ib_mode_sel[4] + NET gpio_ib_mode_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1263710 ) N ; - - gpio_inp_dis[4] + NET gpio_inp_dis[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1229670 ) N ; - - gpio_oeb[4] + NET gpio_oeb[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1266930 ) N ; - - gpio_out[4] + NET gpio_out[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1251290 ) N ; - - gpio_slow_sel[4] + NET gpio_slow_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1205290 ) N ; - - gpio_vtrip_sel[4] + NET gpio_vtrip_sel[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1260490 ) N ; - - gpio_in[4] + NET gpio_in[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1196090 ) N ; - - gpio_analog_en[5] + NET gpio_analog_en[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1445470 ) N ; - - gpio_analog_pol[5] + NET gpio_analog_pol[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1451910 ) N ; - - gpio_analog_sel[5] + NET gpio_analog_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1467090 ) N ; - - gpio_dm0[5] + NET gpio_dm0[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1448690 ) N ; - - gpio_dm1[5] + NET gpio_dm1[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1439490 ) N ; - - gpio_dm2[5] + NET gpio_dm2[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1470310 ) N ; - - gpio_holdover[5] + NET gpio_holdover[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1473530 ) N ; - - gpio_ib_mode_sel[5] + NET gpio_ib_mode_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1488710 ) N ; - - gpio_inp_dis[5] + NET gpio_inp_dis[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1454670 ) N ; - - gpio_oeb[5] + NET gpio_oeb[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1491930 ) N ; - - gpio_out[5] + NET gpio_out[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1476290 ) N ; - - gpio_slow_sel[5] + NET gpio_slow_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1430290 ) N ; - - gpio_vtrip_sel[5] + NET gpio_vtrip_sel[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1485490 ) N ; - - gpio_in[5] + NET gpio_in[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1421090 ) N ; - - gpio_analog_en[6] + NET gpio_analog_en[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1671470 ) N ; - - gpio_analog_pol[6] + NET gpio_analog_pol[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1677910 ) N ; - - gpio_analog_sel[6] + NET gpio_analog_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1693090 ) N ; - - gpio_dm0[6] + NET gpio_dm0[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1674690 ) N ; - - gpio_dm1[6] + NET gpio_dm1[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1665490 ) N ; - - gpio_dm2[6] + NET gpio_dm2[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1696310 ) N ; - - gpio_holdover[6] + NET gpio_holdover[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1699530 ) N ; - - gpio_ib_mode_sel[6] + NET gpio_ib_mode_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1714710 ) N ; - - gpio_inp_dis[6] + NET gpio_inp_dis[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1680670 ) N ; - - gpio_oeb[6] + NET gpio_oeb[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1717930 ) N ; - - gpio_out[6] + NET gpio_out[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1702290 ) N ; - - gpio_slow_sel[6] + NET gpio_slow_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1656290 ) N ; - - gpio_vtrip_sel[6] + NET gpio_vtrip_sel[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1711490 ) N ; - - gpio_in[6] + NET gpio_in[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1647090 ) N ; - - gpio_analog_en[1] + NET gpio_analog_en[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 544470 ) N ; - - gpio_analog_pol[1] + NET gpio_analog_pol[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 550910 ) N ; - - gpio_analog_sel[1] + NET gpio_analog_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 566090 ) N ; - - gpio_dm0[1] + NET gpio_dm0[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 547690 ) N ; - - gpio_dm1[1] + NET gpio_dm1[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 538490 ) N ; - - gpio_dm2[1] + NET gpio_dm2[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 569310 ) N ; - - gpio_holdover[1] + NET gpio_holdover[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 572530 ) N ; - - gpio_ib_mode_sel[1] + NET gpio_ib_mode_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 587710 ) N ; - - gpio_inp_dis[1] + NET gpio_inp_dis[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 553670 ) N ; - - gpio_oeb[1] + NET gpio_oeb[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 590930 ) N ; - - gpio_out[1] + NET gpio_out[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 575290 ) N ; - - gpio_slow_sel[1] + NET gpio_slow_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 529290 ) N ; - - gpio_vtrip_sel[1] + NET gpio_vtrip_sel[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 584490 ) N ; - - gpio_in[1] + NET gpio_in[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 520090 ) N ; - - gpio_analog_en[2] + NET gpio_analog_en[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 769470 ) N ; - - gpio_analog_pol[2] + NET gpio_analog_pol[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 775910 ) N ; - - gpio_analog_sel[2] + NET gpio_analog_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 791090 ) N ; - - gpio_dm0[2] + NET gpio_dm0[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 772690 ) N ; - - gpio_dm1[2] + NET gpio_dm1[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 763490 ) N ; - - gpio_dm2[2] + NET gpio_dm2[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 794310 ) N ; - - gpio_holdover[2] + NET gpio_holdover[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 797530 ) N ; - - gpio_ib_mode_sel[2] + NET gpio_ib_mode_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 812710 ) N ; - - gpio_inp_dis[2] + NET gpio_inp_dis[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 778670 ) N ; - - gpio_oeb[2] + NET gpio_oeb[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 815930 ) N ; - - gpio_out[2] + NET gpio_out[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 800290 ) N ; - - gpio_slow_sel[2] + NET gpio_slow_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 754290 ) N ; - - gpio_vtrip_sel[2] + NET gpio_vtrip_sel[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 809490 ) N ; - - gpio_in[2] + NET gpio_in[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 745090 ) N ; - - gpio_analog_en[3] + NET gpio_analog_en[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 995470 ) N ; - - gpio_analog_pol[3] + NET gpio_analog_pol[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1001910 ) N ; - - gpio_analog_sel[3] + NET gpio_analog_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1017090 ) N ; - - gpio_dm1[3] + NET gpio_dm1[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 989490 ) N ; - - gpio_dm2[3] + NET gpio_dm2[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1020310 ) N ; - - gpio_dm0[3] + NET gpio_dm0[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 998690 ) N ; - - gpio_holdover[3] + NET gpio_holdover[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1023530 ) N ; - - gpio_ib_mode_sel[3] + NET gpio_ib_mode_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1038710 ) N ; - - gpio_inp_dis[3] + NET gpio_inp_dis[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1004670 ) N ; - - gpio_oeb[3] + NET gpio_oeb[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1041930 ) N ; - - gpio_out[3] + NET gpio_out[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1026290 ) N ; - - gpio_slow_sel[3] + NET gpio_slow_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 980290 ) N ; - - gpio_vtrip_sel[3] + NET gpio_vtrip_sel[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1035490 ) N ; - - gpio_analog_en[0] + NET gpio_analog_en[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 318470 ) N ; - - gpio_analog_pol[0] + NET gpio_analog_pol[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 324910 ) N ; - - gpio_analog_sel[0] + NET gpio_analog_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 340090 ) N ; - - gpio_dm0[0] + NET gpio_dm0[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 321690 ) N ; - - gpio_dm1[0] + NET gpio_dm1[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 312490 ) N ; - - gpio_dm2[0] + NET gpio_dm2[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 343310 ) N ; - - gpio_holdover[0] + NET gpio_holdover[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 346530 ) N ; - - gpio_ib_mode_sel[0] + NET gpio_ib_mode_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 361710 ) N ; - - gpio_inp_dis[0] + NET gpio_inp_dis[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 327670 ) N ; - - gpio_oeb[0] + NET gpio_oeb[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 364930 ) N ; - - gpio_out[0] + NET gpio_out[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 349290 ) N ; - - gpio_slow_sel[0] + NET gpio_slow_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 303290 ) N ; - - gpio_vtrip_sel[0] + NET gpio_vtrip_sel[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 358490 ) N ; - - gpio_in[0] + NET gpio_in[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 294090 ) N ; - - gpio_in[3] + NET gpio_in[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 971090 ) N ; - - analog_io[0] + NET analog_io[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 306510 ) N ; - - analog_noesd_io[0] + NET analog_noesd_io[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 315710 ) N ; - - analog_noesd_io[1] + NET analog_noesd_io[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 541710 ) N ; - - analog_io[1] + NET analog_io[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 532510 ) N ; - - gpio_in_h[0] + NET gpio_in_h[0] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 367690 ) N ; - - gpio_in_h[1] + NET gpio_in_h[1] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 593690 ) N ; - - analog_io[2] + NET analog_io[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 757510 ) N ; - - analog_noesd_io[2] + NET analog_noesd_io[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 766710 ) N ; - - gpio_in_h[2] + NET gpio_in_h[2] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 818690 ) N ; - - analog_io[3] + NET analog_io[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 983510 ) N ; - - analog_noesd_io[3] + NET analog_noesd_io[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 992710 ) N ; - - gpio_in_h[3] + NET gpio_in_h[3] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1044690 ) N ; - - analog_io[4] + NET analog_io[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1208510 ) N ; - - analog_noesd_io[4] + NET analog_noesd_io[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1217710 ) N ; - - gpio_in_h[4] + NET gpio_in_h[4] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1269690 ) N ; - - analog_io[5] + NET analog_io[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1433510 ) N ; - - analog_noesd_io[5] + NET analog_noesd_io[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1442710 ) N ; - - gpio_in_h[5] + NET gpio_in_h[5] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1494690 ) N ; - - analog_io[6] + NET analog_io[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1659510 ) N ; - - analog_noesd_io[6] + NET analog_noesd_io[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1668710 ) N ; - - gpio_in_h[6] + NET gpio_in_h[6] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 1720690 ) N ; - - analog_io[7] + NET analog_io[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2545510 ) N ; - - analog_noesd_io[7] + NET analog_noesd_io[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2554710 ) N ; - - gpio_in_h[7] + NET gpio_in_h[7] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2606690 ) N ; - - analog_io[8] + NET analog_io[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2771510 ) N ; - - analog_noesd_io[8] + NET analog_noesd_io[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2780710 ) N ; - - gpio_in_h[8] + NET gpio_in_h[8] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2832690 ) N ; - - analog_io[9] + NET analog_io[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 2996510 ) N ; - - analog_noesd_io[9] + NET analog_noesd_io[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3005710 ) N ; - - gpio_in_h[9] + NET gpio_in_h[9] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3057690 ) N ; - - analog_io[10] + NET analog_io[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3222510 ) N ; - - analog_noesd_io[10] + NET analog_noesd_io[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3231710 ) N ; - - gpio_in_h[10] + NET gpio_in_h[10] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3283690 ) N ; - - analog_io[11] + NET analog_io[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3447510 ) N ; - - analog_noesd_io[11] + NET analog_noesd_io[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3456710 ) N ; - - gpio_in_h[11] + NET gpio_in_h[11] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3508690 ) N ; - - gpio_in_h[12] + NET gpio_in_h[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3733690 ) N ; - - gpio_in_h[13] + NET gpio_in_h[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4179690 ) N ; - - gpio_in_h[14] + NET gpio_in_h[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4625690 ) N ; - - analog_io[12] + NET analog_io[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3672510 ) N ; - - analog_io[13] + NET analog_io[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4118510 ) N ; - - analog_io[14] + NET analog_io[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4564510 ) N ; - - analog_noesd_io[12] + NET analog_noesd_io[12] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 3681710 ) N ; - - analog_noesd_io[13] + NET analog_noesd_io[13] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4127710 ) N ; - - analog_noesd_io[14] + NET analog_noesd_io[14] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( 3167550 4573710 ) N ; - - gpio_analog_en[24] + NET gpio_analog_en[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4611160 ) N ; - - gpio_analog_pol[24] + NET gpio_analog_pol[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4604720 ) N ; - - gpio_analog_sel[24] + NET gpio_analog_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4589540 ) N ; - - gpio_dm0[24] + NET gpio_dm0[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4607940 ) N ; - - gpio_dm1[24] + NET gpio_dm1[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4617140 ) N ; - - gpio_dm2[24] + NET gpio_dm2[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4586320 ) N ; - - gpio_holdover[24] + NET gpio_holdover[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4583100 ) N ; - - gpio_ib_mode_sel[24] + NET gpio_ib_mode_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4567920 ) N ; - - gpio_inp_dis[24] + NET gpio_inp_dis[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4601960 ) N ; - - gpio_oeb[24] + NET gpio_oeb[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4564700 ) N ; - - gpio_out[24] + NET gpio_out[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4580340 ) N ; - - gpio_slow_sel[24] + NET gpio_slow_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4626340 ) N ; - - gpio_vtrip_sel[24] + NET gpio_vtrip_sel[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4571140 ) N ; - - gpio_in[24] + NET gpio_in[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4635540 ) N ; - - gpio_in_h[24] + NET gpio_in_h[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4561940 ) N ; - - analog_io[24] + NET analog_io[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4623120 ) N ; - - analog_noesd_io[24] + NET analog_noesd_io[24] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 4613920 ) N ; - - por_l + NET por_l - + PORT - + LAYER met3 ( -847.5 -175 ) ( 847.5 175 ) + PLACED ( -567.5 267035 ) N ; - - porb_l + NET porb_l - + PORT - + LAYER met3 ( -847.5 -175 ) ( 847.5 175 ) + PLACED ( -567.5 268150 ) N ; - - porb_h + NET porb_h - + PORT - + LAYER met3 ( -847.5 -175 ) ( 847.5 175 ) + PLACED ( -567.5 265910 ) N ; - - gpio_analog_en[25] + NET gpio_analog_en[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3762160 ) N ; - - gpio_analog_pol[25] + NET gpio_analog_pol[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3755720 ) N ; - - gpio_analog_sel[25] + NET gpio_analog_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3740540 ) N ; - - gpio_dm0[25] + NET gpio_dm0[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3758940 ) N ; - - gpio_dm1[25] + NET gpio_dm1[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3768140 ) N ; - - gpio_dm2[25] + NET gpio_dm2[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3737320 ) N ; - - gpio_holdover[25] + NET gpio_holdover[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3734100 ) N ; - - gpio_ib_mode_sel[25] + NET gpio_ib_mode_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3718920 ) N ; - - gpio_inp_dis[25] + NET gpio_inp_dis[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3752960 ) N ; - - gpio_oeb[25] + NET gpio_oeb[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3715700 ) N ; - - gpio_out[25] + NET gpio_out[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3731340 ) N ; - - gpio_slow_sel[25] + NET gpio_slow_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3777340 ) N ; - - gpio_in[25] + NET gpio_in[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3786540 ) N ; - - gpio_dm0[30] + NET gpio_dm0[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2678940 ) N ; - - gpio_dm1[30] + NET gpio_dm1[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2688140 ) N ; - - gpio_dm2[30] + NET gpio_dm2[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2657320 ) N ; - - gpio_holdover[30] + NET gpio_holdover[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2654100 ) N ; - - gpio_ib_mode_sel[30] + NET gpio_ib_mode_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2638920 ) N ; - - gpio_inp_dis[30] + NET gpio_inp_dis[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2672960 ) N ; - - gpio_oeb[30] + NET gpio_oeb[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2635700 ) N ; - - gpio_out[30] + NET gpio_out[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2651340 ) N ; - - gpio_slow_sel[30] + NET gpio_slow_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2697340 ) N ; - - gpio_vtrip_sel[30] + NET gpio_vtrip_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2642140 ) N ; - - gpio_in[30] + NET gpio_in[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2706540 ) N ; - - gpio_analog_en[31] + NET gpio_analog_en[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2466160 ) N ; - - gpio_analog_pol[31] + NET gpio_analog_pol[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2459720 ) N ; - - gpio_analog_sel[31] + NET gpio_analog_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2444540 ) N ; - - gpio_dm0[31] + NET gpio_dm0[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2462940 ) N ; - - gpio_dm1[31] + NET gpio_dm1[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2472140 ) N ; - - gpio_dm2[31] + NET gpio_dm2[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2441320 ) N ; - - gpio_holdover[31] + NET gpio_holdover[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2438100 ) N ; - - gpio_ib_mode_sel[31] + NET gpio_ib_mode_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2422920 ) N ; - - gpio_inp_dis[31] + NET gpio_inp_dis[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2456960 ) N ; - - gpio_oeb[31] + NET gpio_oeb[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2419700 ) N ; - - gpio_out[31] + NET gpio_out[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2435340 ) N ; - - gpio_slow_sel[31] + NET gpio_slow_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2481340 ) N ; - - gpio_vtrip_sel[31] + NET gpio_vtrip_sel[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2426140 ) N ; - - gpio_in[31] + NET gpio_in[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2490540 ) N ; - - gpio_analog_en[26] + NET gpio_analog_en[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3546160 ) N ; - - gpio_analog_pol[26] + NET gpio_analog_pol[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3539720 ) N ; - - gpio_analog_sel[26] + NET gpio_analog_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3524540 ) N ; - - gpio_dm0[26] + NET gpio_dm0[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3542940 ) N ; - - gpio_dm1[26] + NET gpio_dm1[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3552140 ) N ; - - gpio_dm2[26] + NET gpio_dm2[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3521320 ) N ; - - gpio_holdover[26] + NET gpio_holdover[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3518100 ) N ; - - gpio_ib_mode_sel[26] + NET gpio_ib_mode_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3502920 ) N ; - - gpio_inp_dis[26] + NET gpio_inp_dis[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3536960 ) N ; - - gpio_oeb[26] + NET gpio_oeb[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3499700 ) N ; - - gpio_out[26] + NET gpio_out[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3515340 ) N ; - - gpio_slow_sel[26] + NET gpio_slow_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3561340 ) N ; - - gpio_vtrip_sel[26] + NET gpio_vtrip_sel[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3506140 ) N ; - - gpio_in[26] + NET gpio_in[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3570540 ) N ; - - gpio_analog_en[27] + NET gpio_analog_en[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3330160 ) N ; - - gpio_analog_pol[27] + NET gpio_analog_pol[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3323720 ) N ; - - gpio_analog_sel[27] + NET gpio_analog_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3308540 ) N ; - - gpio_dm0[27] + NET gpio_dm0[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3326940 ) N ; - - gpio_dm1[27] + NET gpio_dm1[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3336140 ) N ; - - gpio_dm2[27] + NET gpio_dm2[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3305320 ) N ; - - gpio_holdover[27] + NET gpio_holdover[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3302100 ) N ; - - gpio_ib_mode_sel[27] + NET gpio_ib_mode_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3286920 ) N ; - - gpio_inp_dis[27] + NET gpio_inp_dis[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3320960 ) N ; - - gpio_oeb[27] + NET gpio_oeb[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3283700 ) N ; - - gpio_out[27] + NET gpio_out[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3299340 ) N ; - - gpio_slow_sel[27] + NET gpio_slow_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3345340 ) N ; - - gpio_vtrip_sel[27] + NET gpio_vtrip_sel[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3290140 ) N ; - - gpio_in[27] + NET gpio_in[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3354540 ) N ; - - gpio_analog_en[28] + NET gpio_analog_en[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3114160 ) N ; - - gpio_analog_pol[28] + NET gpio_analog_pol[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3107720 ) N ; - - gpio_analog_sel[28] + NET gpio_analog_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3092540 ) N ; - - gpio_dm0[28] + NET gpio_dm0[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3110940 ) N ; - - gpio_dm1[28] + NET gpio_dm1[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3120140 ) N ; - - gpio_dm2[28] + NET gpio_dm2[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3089320 ) N ; - - gpio_holdover[28] + NET gpio_holdover[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3086100 ) N ; - - gpio_ib_mode_sel[28] + NET gpio_ib_mode_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3070920 ) N ; - - gpio_inp_dis[28] + NET gpio_inp_dis[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3104960 ) N ; - - gpio_oeb[28] + NET gpio_oeb[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3067700 ) N ; - - gpio_out[28] + NET gpio_out[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3083340 ) N ; - - gpio_slow_sel[28] + NET gpio_slow_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3129340 ) N ; - - gpio_vtrip_sel[28] + NET gpio_vtrip_sel[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3074140 ) N ; - - gpio_in[28] + NET gpio_in[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3138540 ) N ; - - gpio_analog_en[29] + NET gpio_analog_en[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2898160 ) N ; - - gpio_analog_pol[29] + NET gpio_analog_pol[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2891720 ) N ; - - gpio_analog_sel[29] + NET gpio_analog_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2876540 ) N ; - - gpio_dm0[29] + NET gpio_dm0[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2894940 ) N ; - - gpio_dm1[29] + NET gpio_dm1[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2904140 ) N ; - - gpio_dm2[29] + NET gpio_dm2[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2873320 ) N ; - - gpio_holdover[29] + NET gpio_holdover[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2870100 ) N ; - - gpio_ib_mode_sel[29] + NET gpio_ib_mode_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2854920 ) N ; - - gpio_inp_dis[29] + NET gpio_inp_dis[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2888960 ) N ; - - gpio_oeb[29] + NET gpio_oeb[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2851700 ) N ; - - gpio_out[29] + NET gpio_out[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2867340 ) N ; - - gpio_slow_sel[29] + NET gpio_slow_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2913340 ) N ; - - gpio_vtrip_sel[29] + NET gpio_vtrip_sel[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2858140 ) N ; - - gpio_in[29] + NET gpio_in[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2922540 ) N ; - - gpio_analog_en[30] + NET gpio_analog_en[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2682160 ) N ; - - gpio_analog_pol[30] + NET gpio_analog_pol[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2675720 ) N ; - - gpio_analog_sel[30] + NET gpio_analog_sel[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2660540 ) N ; - - gpio_dm1[36] + NET gpio_dm1[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 970142.5 ) N ; - - gpio_dm2[36] + NET gpio_dm2[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 939322.5 ) N ; - - gpio_holdover[36] + NET gpio_holdover[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 936102.5 ) N ; - - gpio_ib_mode_sel[36] + NET gpio_ib_mode_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 920922.5 ) N ; - - gpio_inp_dis[36] + NET gpio_inp_dis[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 954962.5 ) N ; - - gpio_oeb[36] + NET gpio_oeb[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 917702.5 ) N ; - - gpio_out[36] + NET gpio_out[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 933342.5 ) N ; - - gpio_slow_sel[36] + NET gpio_slow_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 979342.5 ) N ; - - gpio_vtrip_sel[36] + NET gpio_vtrip_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 924142.5 ) N ; - - gpio_in[36] + NET gpio_in[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 988542.5 ) N ; - - gpio_analog_en[37] + NET gpio_analog_en[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 748162.5 ) N ; - - gpio_analog_pol[37] + NET gpio_analog_pol[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 741722.5 ) N ; - - gpio_analog_sel[37] + NET gpio_analog_sel[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 726542.5 ) N ; - - gpio_dm0[37] + NET gpio_dm0[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 744942.5 ) N ; - - gpio_dm1[37] + NET gpio_dm1[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 754142.5 ) N ; - - gpio_dm2[37] + NET gpio_dm2[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 723322.5 ) N ; - - gpio_holdover[37] + NET gpio_holdover[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 720102.5 ) N ; - - gpio_ib_mode_sel[37] + NET gpio_ib_mode_sel[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 704922.5 ) N ; - - gpio_oeb[37] + NET gpio_oeb[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 701702.5 ) N ; - - gpio_out[37] + NET gpio_out[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 717342.5 ) N ; - - gpio_slow_sel[37] + NET gpio_slow_sel[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 763342.5 ) N ; - - gpio_in[37] + NET gpio_in[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 772542.5 ) N ; - - gpio_analog_en[32] + NET gpio_analog_en[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1828160 ) N ; - - gpio_analog_pol[32] + NET gpio_analog_pol[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1821720 ) N ; - - gpio_analog_sel[32] + NET gpio_analog_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1806540 ) N ; - - gpio_dm0[32] + NET gpio_dm0[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1824940 ) N ; - - gpio_dm1[32] + NET gpio_dm1[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1834140 ) N ; - - gpio_dm2[32] + NET gpio_dm2[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1803320 ) N ; - - gpio_holdover[32] + NET gpio_holdover[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1800100 ) N ; - - gpio_ib_mode_sel[32] + NET gpio_ib_mode_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1784920 ) N ; - - gpio_inp_dis[32] + NET gpio_inp_dis[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1818960 ) N ; - - gpio_oeb[32] + NET gpio_oeb[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1781700 ) N ; - - gpio_out[32] + NET gpio_out[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1797340 ) N ; - - gpio_slow_sel[32] + NET gpio_slow_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1843340 ) N ; - - gpio_vtrip_sel[32] + NET gpio_vtrip_sel[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1788140 ) N ; - - gpio_in[32] + NET gpio_in[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1852540 ) N ; - - gpio_analog_en[33] + NET gpio_analog_en[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1612160 ) N ; - - gpio_analog_sel[33] + NET gpio_analog_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1590540 ) N ; - - gpio_dm1[33] + NET gpio_dm1[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1618140 ) N ; - - gpio_dm2[33] + NET gpio_dm2[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1587320 ) N ; - - gpio_dm0[33] + NET gpio_dm0[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1608940 ) N ; - - gpio_holdover[33] + NET gpio_holdover[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1584100 ) N ; - - gpio_ib_mode_sel[33] + NET gpio_ib_mode_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1568920 ) N ; - - gpio_inp_dis[33] + NET gpio_inp_dis[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1602960 ) N ; - - gpio_oeb[33] + NET gpio_oeb[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1565700 ) N ; - - gpio_out[33] + NET gpio_out[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1581340 ) N ; - - gpio_slow_sel[33] + NET gpio_slow_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1627340 ) N ; - - gpio_vtrip_sel[33] + NET gpio_vtrip_sel[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1572140 ) N ; - - gpio_in[33] + NET gpio_in[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1636540 ) N ; - - gpio_analog_en[34] + NET gpio_analog_en[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1396160 ) N ; - - gpio_analog_pol[34] + NET gpio_analog_pol[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1389720 ) N ; - - gpio_analog_sel[34] + NET gpio_analog_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1374540 ) N ; - - gpio_dm0[34] + NET gpio_dm0[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1392940 ) N ; - - gpio_dm1[34] + NET gpio_dm1[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1402140 ) N ; - - gpio_dm2[34] + NET gpio_dm2[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1371320 ) N ; - - gpio_holdover[34] + NET gpio_holdover[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1368100 ) N ; - - gpio_ib_mode_sel[34] + NET gpio_ib_mode_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1352920 ) N ; - - gpio_inp_dis[34] + NET gpio_inp_dis[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1386960 ) N ; - - gpio_oeb[34] + NET gpio_oeb[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1349700 ) N ; - - gpio_out[34] + NET gpio_out[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1365340 ) N ; - - gpio_slow_sel[34] + NET gpio_slow_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1411340 ) N ; - - gpio_vtrip_sel[34] + NET gpio_vtrip_sel[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1356140 ) N ; - - gpio_in[34] + NET gpio_in[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1420540 ) N ; - - gpio_analog_en[35] + NET gpio_analog_en[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1180160 ) N ; - - gpio_analog_pol[35] + NET gpio_analog_pol[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1173720 ) N ; - - gpio_analog_sel[35] + NET gpio_analog_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1158540 ) N ; - - gpio_dm0[35] + NET gpio_dm0[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1176940 ) N ; - - gpio_dm1[35] + NET gpio_dm1[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1186140 ) N ; - - gpio_dm2[35] + NET gpio_dm2[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1155320 ) N ; - - gpio_holdover[35] + NET gpio_holdover[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1152100 ) N ; - - gpio_ib_mode_sel[35] + NET gpio_ib_mode_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1136920 ) N ; - - gpio_inp_dis[35] + NET gpio_inp_dis[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1170960 ) N ; - - gpio_oeb[35] + NET gpio_oeb[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1133700 ) N ; - - gpio_out[35] + NET gpio_out[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1149340 ) N ; - - gpio_slow_sel[35] + NET gpio_slow_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1195340 ) N ; - - gpio_vtrip_sel[35] + NET gpio_vtrip_sel[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1140140 ) N ; - - gpio_in[35] + NET gpio_in[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1204540 ) N ; - - gpio_analog_en[36] + NET gpio_analog_en[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 964162.5 ) N ; - - gpio_analog_pol[36] + NET gpio_analog_pol[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 957722.5 ) N ; - - gpio_analog_sel[36] + NET gpio_analog_sel[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 942542.5 ) N ; - - gpio_dm0[36] + NET gpio_dm0[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 960942.5 ) N ; - - gpio_inp_dis[37] + NET gpio_inp_dis[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 738962.5 ) N ; - - gpio_in_h[25] + NET gpio_in_h[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3712940 ) N ; - - gpio_in_h[26] + NET gpio_in_h[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3496940 ) N ; - - gpio_in_h[27] + NET gpio_in_h[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3280940 ) N ; - - gpio_in_h[28] + NET gpio_in_h[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3064940 ) N ; - - gpio_in_h[29] + NET gpio_in_h[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2848940 ) N ; - - gpio_in_h[30] + NET gpio_in_h[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2632940 ) N ; - - gpio_in_h[31] + NET gpio_in_h[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2416940 ) N ; - - gpio_in_h[32] + NET gpio_in_h[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1778940 ) N ; - - gpio_in_h[33] + NET gpio_in_h[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1562940 ) N ; - - gpio_in_h[34] + NET gpio_in_h[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1346940 ) N ; - - gpio_in_h[35] + NET gpio_in_h[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1130940 ) N ; - - gpio_in_h[36] + NET gpio_in_h[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 914942.5 ) N ; - - gpio_in_h[37] + NET gpio_in_h[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 698942.5 ) N ; - - analog_io[25] + NET analog_io[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3774120 ) N ; - - analog_io[26] + NET analog_io[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3558120 ) N ; - - analog_io[27] + NET analog_io[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3342120 ) N ; - - analog_io[28] + NET analog_io[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3126120 ) N ; - - analog_io[29] + NET analog_io[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2910120 ) N ; - - analog_io[30] + NET analog_io[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2694120 ) N ; - - analog_io[31] + NET analog_io[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2478120 ) N ; - - analog_io[32] + NET analog_io[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1840120 ) N ; - - analog_io[33] + NET analog_io[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1624120 ) N ; - - analog_io[34] + NET analog_io[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1408120 ) N ; - - analog_io[35] + NET analog_io[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1192120 ) N ; - - analog_io[36] + NET analog_io[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 976122.5 ) N ; - - analog_io[37] + NET analog_io[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 760122.5 ) N ; - - analog_noesd_io[25] + NET analog_noesd_io[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3764920 ) N ; - - analog_noesd_io[26] + NET analog_noesd_io[26] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3548920 ) N ; - - analog_noesd_io[27] + NET analog_noesd_io[27] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3332920 ) N ; - - analog_noesd_io[28] + NET analog_noesd_io[28] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3116920 ) N ; - - analog_noesd_io[29] + NET analog_noesd_io[29] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2900920 ) N ; - - analog_noesd_io[30] + NET analog_noesd_io[30] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2684920 ) N ; - - analog_noesd_io[31] + NET analog_noesd_io[31] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 2468920 ) N ; - - analog_noesd_io[32] + NET analog_noesd_io[32] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1830920 ) N ; - - analog_noesd_io[33] + NET analog_noesd_io[33] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1614920 ) N ; - - analog_noesd_io[34] + NET analog_noesd_io[34] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1398920 ) N ; - - analog_noesd_io[35] + NET analog_noesd_io[35] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 1182920 ) N ; - - analog_noesd_io[36] + NET analog_noesd_io[36] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 966922.5 ) N ; - - analog_noesd_io[37] + NET analog_noesd_io[37] - + PORT - + LAYER met3 ( -1200 -177.5 ) ( 1200 177.5 ) + PLACED ( -920 750922.5 ) N ; - - gpio_vtrip_sel[25] + NET gpio_vtrip_sel[25] - + PORT - + LAYER met3 ( -1200 -175 ) ( 1200 175 ) + PLACED ( -920 3722140 ) N ; - - gpio_analog_pol[33] + NET gpio_analog_pol[33] - + PORT - + LAYER met3 ( -1200 -140 ) ( 1200 140 ) + PLACED ( -920 1605720 ) N ; - - gpio_loopback_one[3] + NET gpio_loopback_one[3] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1057180 ) N ; - - gpio_loopback_zero[3] + NET gpio_loopback_zero[3] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1067190 ) N ; - - gpio_loopback_one[4] + NET gpio_loopback_one[4] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1282180 ) N ; - - gpio_loopback_zero[4] + NET gpio_loopback_zero[4] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1292190 ) N ; - - gpio_loopback_one[5] + NET gpio_loopback_one[5] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1507180 ) N ; - - gpio_loopback_zero[5] + NET gpio_loopback_zero[5] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1517190 ) N ; - - gpio_loopback_one[6] + NET gpio_loopback_one[6] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1733180 ) N ; - - gpio_loopback_zero[6] + NET gpio_loopback_zero[6] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 1743190 ) N ; - - gpio_loopback_one[7] + NET gpio_loopback_one[7] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2619180 ) N ; - - gpio_loopback_zero[7] + NET gpio_loopback_zero[7] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2629190 ) N ; - - gpio_loopback_one[8] + NET gpio_loopback_one[8] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2845180 ) N ; - - gpio_loopback_zero[8] + NET gpio_loopback_zero[8] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 2855190 ) N ; - - gpio_loopback_one[9] + NET gpio_loopback_one[9] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3070180 ) N ; - - gpio_loopback_zero[9] + NET gpio_loopback_zero[9] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3080190 ) N ; - - gpio_loopback_one[10] + NET gpio_loopback_one[10] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3296180 ) N ; - - gpio_loopback_zero[10] + NET gpio_loopback_zero[10] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3306190 ) N ; - - gpio_loopback_one[11] + NET gpio_loopback_one[11] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3521180 ) N ; - - gpio_loopback_zero[11] + NET gpio_loopback_zero[11] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3531190 ) N ; - - gpio_loopback_one[12] + NET gpio_loopback_one[12] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3746180 ) N ; - - gpio_loopback_zero[12] + NET gpio_loopback_zero[12] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 3756190 ) N ; - - gpio_loopback_one[13] + NET gpio_loopback_one[13] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4192180 ) N ; - - gpio_loopback_zero[13] + NET gpio_loopback_zero[13] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4202190 ) N ; - - gpio_loopback_one[14] + NET gpio_loopback_one[14] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4638180 ) N ; - - gpio_loopback_zero[14] + NET gpio_loopback_zero[14] - + PORT - + LAYER met3 ( -800 -155 ) ( 800 155 ) + PLACED ( 3167150 4648190 ) N ; - - gpio_loopback_one[15] + NET gpio_loopback_one[15] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2912642.5 4767150 ) N ; - - gpio_loopback_zero[15] + NET gpio_loopback_zero[15] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2902637.5 4767150 ) N ; - - VPWR + NET VPWR - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER met1 ( -130 -77.5 ) ( 130 77.5 ) + PLACED ( 2903185 4768517.5 ) N ; - - VPB + NET VPB - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER nwell ( -85 -45 ) ( 85 45 ) + PLACED ( 2903175 4768525 ) N ; - - VPWR + NET VPWR - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER met1 ( -460 -240 ) ( 460 240 ) + PLACED ( 2903410 4768525 ) N ; - - VPB + NET VPB - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER nwell ( -85 -85 ) ( 85 85 ) + PLACED ( 2904105 4768525 ) N ; - - VPWR + NET VPWR - + DIRECTION INOUT - + USE POWER - + PORT - + LAYER met1 ( -85 -85 ) ( 85 85 ) + PLACED ( 2904105 4768525 ) N ; - - gpio_loopback_one[16] + NET gpio_loopback_one[16] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2403642.5 4767150 ) N ; - - gpio_loopback_zero[16] + NET gpio_loopback_zero[16] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2393637.5 4767150 ) N ; - - gpio_loopback_one[17] + NET gpio_loopback_one[17] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2146642.5 4767150 ) N ; - - gpio_loopback_zero[17] + NET gpio_loopback_zero[17] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 2136637.5 4767150 ) N ; - - gpio_loopback_one[18] + NET gpio_loopback_one[18] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1701642.5 4767150 ) N ; - - gpio_loopback_zero[18] + NET gpio_loopback_zero[18] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1691637.5 4767150 ) N ; - - gpio_loopback_one[19] + NET gpio_loopback_one[19] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1192642.5 4767150 ) N ; - - gpio_loopback_zero[19] + NET gpio_loopback_zero[19] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 1182637.5 4767150 ) N ; - - gpio_loopback_one[20] + NET gpio_loopback_one[20] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 934642.5 4767150 ) N ; - - gpio_loopback_zero[20] + NET gpio_loopback_zero[20] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 924637.5 4767150 ) N ; - - gpio_loopback_zero[21] + NET gpio_loopback_zero[21] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 667637.5 4767150 ) N ; - - gpio_loopback_one[21] + NET gpio_loopback_one[21] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 677642.5 4767150 ) N ; - - gpio_loopback_zero[22] + NET gpio_loopback_zero[22] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 410637.5 4767150 ) N ; - - gpio_loopback_one[22] + NET gpio_loopback_one[22] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 420642.5 4767150 ) N ; - - gpio_loopback_zero[23] + NET gpio_loopback_zero[23] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 153637.5 4767150 ) N ; - - gpio_loopback_one[23] + NET gpio_loopback_one[23] - + PORT - + LAYER met2 ( -152.5 -800 ) ( 152.5 800 ) + PLACED ( 163642.5 4767150 ) N ; - - gpio_loopback_one[24] + NET gpio_loopback_one[24] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 4549370 ) N ; - - gpio_loopback_zero[24] + NET gpio_loopback_zero[24] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 4539370 ) N ; - - gpio_loopback_one[25] + NET gpio_loopback_one[25] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3700370 ) N ; - - gpio_loopback_zero[25] + NET gpio_loopback_zero[25] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3690370 ) N ; - - gpio_loopback_zero[26] + NET gpio_loopback_zero[26] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3474370 ) N ; - - gpio_loopback_one[26] + NET gpio_loopback_one[26] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3484370 ) N ; - - gpio_loopback_zero[27] + NET gpio_loopback_zero[27] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3258370 ) N ; - - gpio_loopback_one[27] + NET gpio_loopback_one[27] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3268370 ) N ; - - gpio_loopback_zero[28] + NET gpio_loopback_zero[28] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3042370 ) N ; - - gpio_loopback_one[28] + NET gpio_loopback_one[28] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 3052370 ) N ; - - gpio_loopback_zero[29] + NET gpio_loopback_zero[29] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2826370 ) N ; - - gpio_loopback_one[29] + NET gpio_loopback_one[29] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2836370 ) N ; - - gpio_loopback_zero[30] + NET gpio_loopback_zero[30] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2610370 ) N ; - - gpio_loopback_one[30] + NET gpio_loopback_one[30] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2620370 ) N ; - - gpio_loopback_zero[31] + NET gpio_loopback_zero[31] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2394370 ) N ; - - gpio_loopback_one[31] + NET gpio_loopback_one[31] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 2404370 ) N ; - - gpio_loopback_zero[32] + NET gpio_loopback_zero[32] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1756370 ) N ; - - gpio_loopback_one[32] + NET gpio_loopback_one[32] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1766370 ) N ; - - gpio_loopback_zero[33] + NET gpio_loopback_zero[33] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1540370 ) N ; - - gpio_loopback_one[33] + NET gpio_loopback_one[33] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1550370 ) N ; - - gpio_loopback_zero[34] + NET gpio_loopback_zero[34] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1324370 ) N ; - - gpio_loopback_one[34] + NET gpio_loopback_one[34] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1334370 ) N ; - - gpio_loopback_zero[35] + NET gpio_loopback_zero[35] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1108370 ) N ; - - gpio_loopback_one[35] + NET gpio_loopback_one[35] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 1118370 ) N ; - - gpio_loopback_zero[36] + NET gpio_loopback_zero[36] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 892370 ) N ; - - gpio_loopback_one[36] + NET gpio_loopback_one[36] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 902370 ) N ; - - gpio_loopback_zero[37] + NET gpio_loopback_zero[37] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 676370 ) N ; - - gpio_loopback_one[37] + NET gpio_loopback_one[37] - + PORT - + LAYER met3 ( -800 -150 ) ( 800 150 ) + PLACED ( -520 686370 ) N ; -END PINS - -END DESIGN - diff --git a/openlane/openframe_project_wrapper/macro.cfg b/openlane/openframe_project_wrapper/macro.cfg index 6f21c98..d80854a 100644 --- a/openlane/openframe_project_wrapper/macro.cfg +++ b/openlane/openframe_project_wrapper/macro.cfg @@ -1,2 +1,3 @@ -openframe_example.soc_mem.mem.SRAM_0 100 15 N -openframe_example.soc_mem.mem.SRAM_1 1100 15 N +openframe_example 550 1990 N +vccd1_connection 3122.515 4327.515 N +vssd1_connection 3122.515 2088.515 N diff --git a/openlane/openframe_project_wrapper/pdn_cfg.tcl b/openlane/openframe_project_wrapper/pdn_cfg.tcl new file mode 100644 index 0000000..b93ba9f --- /dev/null +++ b/openlane/openframe_project_wrapper/pdn_cfg.tcl @@ -0,0 +1,128 @@ +# Copyright 2020-2022 Efabless Corporation +# +# Licensed under the Apache License, Version 2.0 (the "License"); +# you may not use this file except in compliance with the License. +# You may obtain a copy of the License at +# +# http://www.apache.org/licenses/LICENSE-2.0 +# +# Unless required by applicable law or agreed to in writing, software +# distributed under the License is distributed on an "AS IS" BASIS, +# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +# See the License for the specific language governing permissions and +# limitations under the License. + +source $::env(SCRIPTS_DIR)/openroad/common/set_global_connections.tcl +set_global_connections + +set secondary [] +foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) { + if { $vdd != $::env(VDD_NET)} { + lappend secondary $vdd + + set db_net [[ord::get_db_block] findNet $vdd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $vdd] + $net setSpecial + $net setSigType "POWER" + } + } + + if { $gnd != $::env(GND_NET)} { + lappend secondary $gnd + + set db_net [[ord::get_db_block] findNet $gnd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $gnd] + $net setSpecial + $net setSigType "GROUND" + } + } +} + +set_voltage_domain -name CORE -power $::env(VDD_NET) -ground $::env(GND_NET) \ + -secondary_power $secondary + +# Assesses whether the design is the core of the chip or not based on the +# value of $::env(DESIGN_IS_CORE) and uses the appropriate stdcell section +if { $::env(DESIGN_IS_CORE) == 1 } { + # Used if the design is the core of the chip + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -spacing $::env(FP_PDN_VSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_HORIZONTAL_LAYER) \ + -width $::env(FP_PDN_HWIDTH) \ + -pitch $::env(FP_PDN_HPITCH) \ + -offset $::env(FP_PDN_HOFFSET) \ + -spacing $::env(FP_PDN_HSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" +} else { + # Used if the design is a macro in the core + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins $::env(FP_PDN_VERTICAL_LAYER) + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -starts_with POWER +} + +# Adds the standard cell rails if enabled. +if { $::env(FP_PDN_ENABLE_RAILS) == 1 } { + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_RAIL_LAYER) \ + -width $::env(FP_PDN_RAIL_WIDTH) \ + -followpins \ + -starts_with POWER + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_RAIL_LAYER) $::env(FP_PDN_VERTICAL_LAYER)" +} + + +# Adds the core ring if enabled. +if { $::env(FP_PDN_CORE_RING) == 1 } { + add_pdn_ring \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" \ + -widths "$::env(FP_PDN_CORE_RING_VWIDTH) $::env(FP_PDN_CORE_RING_HWIDTH)" \ + -spacings "$::env(FP_PDN_CORE_RING_VSPACING) $::env(FP_PDN_CORE_RING_HSPACING)" \ + -core_offset "$::env(FP_PDN_CORE_RING_VOFFSET) $::env(FP_PDN_CORE_RING_HOFFSET)" +} + +define_pdn_grid \ + -macro \ + -default \ + -name macro \ + -starts_with POWER \ + -halo "$::env(FP_PDN_HORIZONTAL_HALO) $::env(FP_PDN_VERTICAL_HALO)" + +add_pdn_connect \ + -grid macro \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" diff --git a/openlane/openframe_project_wrapper/signoff.sdc b/openlane/openframe_project_wrapper/signoff.sdc new file mode 100644 index 0000000..daf65b6 --- /dev/null +++ b/openlane/openframe_project_wrapper/signoff.sdc @@ -0,0 +1,60 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name dll_clk -period 6.666 [get_pins {openframe_example/dll/clockp[1]}] +create_clock -name dll_clk90 -period 6.666 [get_pins {openframe_example/dll/clockp[0]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}]\ + -group [get_clocks {dll_clk}]\ + -group [get_clocks {dll_clk90}] + +set_clock_uncertainty 0.1 [all_clocks] +set_propagated_clock [all_clocks] + +## INPUT/OUTPUT DELAYS +set input_delay_value 4 +set output_delay_value 20 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +# set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +# set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout 20 [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] +set_false_path -from [get_ports {gpio_in[38]}] -to [get_pins {openframe_example/_34238_/D}] + +# add loads for output ports (pads) +set min_cap 0.04 +set max_cap 0.04 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.05 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans 1.5 [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/picosoc/base.sdc b/openlane/picosoc/base.sdc new file mode 100644 index 0000000..2944b49 --- /dev/null +++ b/openlane/picosoc/base.sdc @@ -0,0 +1,57 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] +create_generated_clock -name spi_master -source [get_ports {gpio_in[38]}] -divide_by 2 [get_pins -of_objects {simple_spi_master_inst.spi_master.hsck} -filter lib_pin_name==Q] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}] + +set_clock_uncertainty 1.0 [all_clocks] +set_propagated_clock [all_clocks] +# remove_propagated_clock [get_pins {_30799_/A1}] + +## INPUT/OUTPUT DELAYS +set input_delay_value 0 +set output_delay_value 22 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout $::env(MAX_FANOUT_CONSTRAINT) [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] + +# add loads for output ports (pads) +set min_cap 0.5 +set max_cap 1.0 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.15 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans $::env(MAX_TRANSITION_CONSTRAINT) [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/picosoc/base_2.sdc b/openlane/picosoc/base_2.sdc new file mode 100644 index 0000000..69b3078 --- /dev/null +++ b/openlane/picosoc/base_2.sdc @@ -0,0 +1,65 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name dll_clk -period 4.0 [get_pins {dll/clockp[1]}] +create_clock -name dll_clk90 -period 4.0 [get_pins {dll/clockp[0]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] +create_generated_clock -name spi_master -source [get_ports {gpio_in[38]}] -divide_by 2 [get_pins -of_objects {simple_spi_master_inst.spi_master.hsck} -filter lib_pin_name==Q] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}]\ + -group [get_clocks {dll_clk}]\ + -group [get_clocks {dll_clk90}] + +set_clock_uncertainty 0.8 [get_clocks {clk}] +set_clock_uncertainty 0.5 [get_clocks {clk_hkspi_sck}] +set_clock_uncertainty 0.3 [get_clocks {dll_clk}] +set_clock_uncertainty 0.3 [get_clocks {dll_clk90}] + +set_propagated_clock [all_clocks] +# remove_propagated_clock [get_pins {_30799_/A1}] + +## INPUT/OUTPUT DELAYS +set input_delay_value 0 +set output_delay_value 22 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout $::env(MAX_FANOUT_CONSTRAINT) [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] + +# add loads for output ports (pads) +set min_cap 0.5 +set max_cap 1.0 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.15 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans $::env(MAX_TRANSITION_CONSTRAINT) [current_design] +# set_max_cap 0.5 [current_design] + +# group_path -weight 100 -through [get_pins mprj/la_data_out[0]] -name mprj_floating diff --git a/openlane/picosoc/config.json b/openlane/picosoc/config.json new file mode 100644 index 0000000..e4fde80 --- /dev/null +++ b/openlane/picosoc/config.json @@ -0,0 +1,97 @@ +{ + "DESIGN_NAME": "picosoc", + "VERILOG_FILES": [ + "dir::../../verilog/rtl/openframe_project_netlists.v", + "dir::../../verilog/rtl/picosoc.v", + "dir::../../verilog/rtl/picorv32.v", + "dir::../../verilog/rtl/spimemio.v", + "dir::../../verilog/rtl/simpleuart.v", + "dir::../../verilog/rtl/clock_div.v", + "dir::../../verilog/rtl/clock_routing.v", + "dir::../../verilog/rtl/intercon_wb.v", + "dir::../../verilog/rtl/housekeeping.v", + "dir::../../verilog/rtl/simple_spi_master.v", + "dir::../../verilog/rtl/counter_timer_high.v", + "dir::../../verilog/rtl/counter_timer_low.v", + "dir::../../verilog/rtl/mem_wb.v", + "dir::../../verilog/rtl/gpio_wb.v", + "dir::../../verilog/rtl/gpio_vector_wb.v", + "dir::../../verilog/rtl/debug_regs.v" + ], + "QUIT_ON_LINTER_ERRORS": false, + "QUIT_ON_SYNTH_CHECKS": false, + "SYNTH_READ_BLACKBOX_LIB": 1, + "SYNTH_STRATEGY": "DELAY 0", + "MAX_TRANSITION_CONSTRAINT": 0.8, + "MAX_FANOUT_CONSTRAINT": 16, + "ROUTING_CORES": 16, + "CLOCK_PERIOD": 25, + "MACRO_PLACEMENT_CFG": "dir::macro.cfg", + "MAGIC_DEF_LABELS": 0, + "VERILOG_FILES_BLACKBOX": [ + "dir::sky130_sram_2kbyte_1rw1r_32x512_8.v", + "dir::../../verilog/gl/digital_locked_loop.v" + ], + "EXTRA_LEFS": ["pdk_dir::libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef", + "dir::../../lef/digital_locked_loop.lef" + ], + "EXTRA_GDS_FILES": ["pdk_dir::libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds", + "dir::../../gds/digital_locked_loop.gds" + ], + "EXTRA_LIBS": ["pdk_dir::libs.ref/sky130_sram_macros/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib", + "dir::../../lib/digital_locked_loop.lib" + ], + "EXTRA_SPEFS": [ + "digital_locked_loop", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.min.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.nom.spef", + "dir::../../signoff/digital_locked_loop/openlane-signoff/spef/digital_locked_loop.max.spef" + ], + "FP_PDN_CHECK_NODES": 0, + "SYNTH_USE_PG_PINS_DEFINES": "USE_POWER_PINS", + "PL_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_TIMING_OPTIMIZATIONS": 1, + "GLB_RESIZER_DESIGN_OPTIMIZATIONS": 1, + "PL_RESIZER_BUFFER_INPUT_PORTS": 1, + "PL_RESIZER_MAX_WIRE_LENGTH": 500, + "FP_PDN_ENABLE_RAILS": 1, + "FP_PDN_VPITCH": 40, + "GRT_REPAIR_ANTENNAS": 1, + "RUN_HEURISTIC_DIODE_INSERTION": 1, + "GRT_ANT_MARGIN": 20, + "HEURISTIC_ANTENNA_THRESHOLD": 80, + "FP_PDN_HPITCH": 40, + "FP_PDN_VOFFSET": 5, + "FP_PDN_HOFFSET": 5, + "MAGIC_ZEROIZE_ORIGIN": 0, + "FP_SIZING": "absolute", + "RUN_CVC": 0, + "UNIT": 2.4, + "FP_IO_MODE": 1, + "FP_IO_VLENGTH": "expr::$UNIT", + "FP_IO_HLENGTH": "expr::$UNIT", + "FP_PDN_CORE_RING": false, + "FP_PDN_VWIDTH": 3.1, + "FP_PDN_HWIDTH": 3.1, + "FP_PDN_VSPACING": 2, + "FP_PDN_HSPACING": 2, + "FP_PDN_CFG": "dir::pdn_cfg.tcl", + "FP_PDN_MACRO_HOOKS": "soc_mem\\.mem\\.SRAM_0 VPWR VGND vccd1 vssd1, soc_mem\\.mem\\.SRAM_1 VPWR VGND vccd1 vssd1, dll VPWR VGND vccd1 vssd1", + "RUN_CTS": 1, + "CTS_CLK_MAX_WIRE_LENGTH": 500, + "CLOCK_PORT": "gpio_in[38]", + "PL_TARGET_DENSITY": 0.28, + "DIE_AREA_WRAPPER": "0 0 3168.82 4768.82", + "DIE_AREA": "0 0 2200 1500", + "RUN_IRDROP_REPORT": 0, + "BASE_SDC_FILE": "dir::base.sdc", + "GRT_ALLOW_CONGESTION": 1, + "SYNTH_BUFFERING": 0, + "RCX_SDC_FILE": "dir::signoff.sdc", + "RUN_MAGIC_DRC": 0, + "FP_PIN_ORDER_CFG": "dir::pin_order.cfg", + "SYNTH_DEFINES": "PnR", + "QUIT_ON_HOLD_VIOLATIONS": 0, + "GRT_ADJUSTMENT": 0.18 +} diff --git a/openlane/picosoc/interactive.tcl b/openlane/picosoc/interactive.tcl new file mode 100644 index 0000000..07d1d45 --- /dev/null +++ b/openlane/picosoc/interactive.tcl @@ -0,0 +1,57 @@ +package require openlane +variable SCRIPT_DIR [file dirname [file normalize [info script]]] + +prep -ignore_mismatches -design $SCRIPT_DIR -tag $::env(OPENLANE_RUN_TAG) -overwrite -verbose 0 + +################ Synthesis ################ +run_synthesis + +################ Floorplan ################ +run_floorplan + +################ placement ################ +run_placement + +################ CTS ################ +run_cts +set ::env(CURRENT_SDC) $::env(DESIGN_DIR)/base_2.sdc +run_resizer_timing + +################ Routing ################ +run_routing + +################ RCX sta ################ +run_parasitics_sta + +################ Antenna check ################ +run_antenna_check + +################ magic ################ +run_magic + +################ LVS ################ +run_magic_spice_export; +run_lvs; + +############### DRC ################ +run_magic_drc + +################ Saving views and reports ################ +save_final_views +save_views -save_path .. -tag $::env(OPENLANE_RUN_TAG) +## + calc_total_runtime + save_state + generate_final_summary_report + check_timing_violations + if { [info exists arg_values(-save_path)]\ + && $arg_values(-save_path) != "" } { + set ::env(HOOK_OUTPUT_PATH) "[file normalize $arg_values(-save_path)]" + } else { + set ::env(HOOK_OUTPUT_PATH) $::env(RESULTS_DIR)/final + } + if {[info exists flags_map(-run_hooks)]} { + run_post_run_hooks + } + puts_success "Flow complete." + show_warnings "Note that the following warnings have been generated:" diff --git a/openlane/picosoc/macro.cfg b/openlane/picosoc/macro.cfg new file mode 100644 index 0000000..b1b4317 --- /dev/null +++ b/openlane/picosoc/macro.cfg @@ -0,0 +1,3 @@ +soc_mem.mem.SRAM_0 99.87 620 N +soc_mem.mem.SRAM_1 1399.87 620 N +dll 1167 700 N \ No newline at end of file diff --git a/openlane/picosoc/mux2_map.v b/openlane/picosoc/mux2_map.v new file mode 100644 index 0000000..08851dc --- /dev/null +++ b/openlane/picosoc/mux2_map.v @@ -0,0 +1,13 @@ +module \$_MUX_ ( + output Y, + input A, + input B, + input S + ); + sky130_fd_sc_hd__mux2_2 _TECHMAP_MUX ( + .X(Y), + .A0(A), + .A1(B), + .S(S) + ); +endmodule \ No newline at end of file diff --git a/openlane/picosoc/pdn_cfg.tcl b/openlane/picosoc/pdn_cfg.tcl new file mode 100644 index 0000000..f3cdf3c --- /dev/null +++ b/openlane/picosoc/pdn_cfg.tcl @@ -0,0 +1,115 @@ +source $::env(SCRIPTS_DIR)/openroad/common/set_global_connections.tcl +set_global_connections + +set secondary [] +foreach vdd $::env(VDD_NETS) gnd $::env(GND_NETS) { + if { $vdd != $::env(VDD_NET)} { + lappend secondary $vdd + + set db_net [[ord::get_db_block] findNet $vdd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $vdd] + $net setSpecial + $net setSigType "POWER" + } + } + + if { $gnd != $::env(GND_NET)} { + lappend secondary $gnd + + set db_net [[ord::get_db_block] findNet $gnd] + if {$db_net == "NULL"} { + set net [odb::dbNet_create [ord::get_db_block] $gnd] + $net setSpecial + $net setSigType "GROUND" + } + } +} + +set_voltage_domain -name CORE -power $::env(VDD_NET) -ground $::env(GND_NET) \ + -secondary_power $secondary + +# Assesses whether the design is the core of the chip or not based on the +# value of $::env(DESIGN_IS_CORE) and uses the appropriate stdcell section +if { $::env(DESIGN_IS_CORE) == 1 } { + # Used if the design is the core of the chip + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -spacing $::env(FP_PDN_VSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_HORIZONTAL_LAYER) \ + -width $::env(FP_PDN_HWIDTH) \ + -pitch $::env(FP_PDN_HPITCH) \ + -offset $::env(FP_PDN_HOFFSET) \ + -spacing $::env(FP_PDN_HSPACING) \ + -starts_with POWER -extend_to_core_ring + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" +} else { + # Used if the design is a macro in the core + define_pdn_grid \ + -name stdcell_grid \ + -starts_with POWER \ + -voltage_domain CORE \ + -pins $::env(FP_PDN_VERTICAL_LAYER) + + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_VERTICAL_LAYER) \ + -width $::env(FP_PDN_VWIDTH) \ + -pitch $::env(FP_PDN_VPITCH) \ + -offset $::env(FP_PDN_VOFFSET) \ + -starts_with POWER +} + +# Adds the standard cell rails if enabled. +if { $::env(FP_PDN_ENABLE_RAILS) == 1 } { + add_pdn_stripe \ + -grid stdcell_grid \ + -layer $::env(FP_PDN_RAIL_LAYER) \ + -width $::env(FP_PDN_RAIL_WIDTH) \ + -followpins \ + -starts_with POWER + + add_pdn_connect \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_RAIL_LAYER) $::env(FP_PDN_VERTICAL_LAYER)" +} + + +# Adds the core ring if enabled. +if { $::env(FP_PDN_CORE_RING) == 1 } { + add_pdn_ring \ + -grid stdcell_grid \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" \ + -widths "$::env(FP_PDN_CORE_RING_VWIDTH) $::env(FP_PDN_CORE_RING_HWIDTH)" \ + -spacings "$::env(FP_PDN_CORE_RING_VSPACING) $::env(FP_PDN_CORE_RING_HSPACING)" \ + -core_offset "$::env(FP_PDN_CORE_RING_VOFFSET) $::env(FP_PDN_CORE_RING_HOFFSET)" +} + +define_pdn_grid \ + -macro \ + -default \ + -name macro \ + -starts_with POWER \ + -halo "$::env(FP_PDN_HORIZONTAL_HALO) $::env(FP_PDN_VERTICAL_HALO)" + +add_pdn_connect \ + -grid macro \ + -layers "$::env(FP_PDN_VERTICAL_LAYER) $::env(FP_PDN_HORIZONTAL_LAYER)" + diff --git a/openlane/openframe_project_wrapper/pin_order.cfg b/openlane/picosoc/pin_order.cfg similarity index 79% rename from openlane/openframe_project_wrapper/pin_order.cfg rename to openlane/picosoc/pin_order.cfg index 640f6ba..182663f 100644 --- a/openlane/openframe_project_wrapper/pin_order.cfg +++ b/openlane/picosoc/pin_order.cfg @@ -1,633 +1,187 @@ #BUS_SORT -#NR - -gpio_in\[23\] -gpio_slow_sel\[23\] -analog_io\[23\] +#N +gpio_loopback_zero\[23\] +gpio_loopback_one\[23\] +gpio_in_h\[23\] +gpio_oeb\[23\] +gpio_ib_mode_sel\[23\] +gpio_vtrip_sel\[23\] +gpio_out\[23\] +gpio_holdover\[23\] +gpio_dm2\[23\] +gpio_anlaog_sel\[23\] +gpio_ieb\[23\] +gpio_analog_pol\[23\] gpio_dm0\[23\] -analog_noesd_io\[23\] gpio_analog_en\[23\] +gpio_noesd_io\[23\] gpio_dm1\[23\] -gpio_analog_pol\[23\] -gpio_inp_dis\[23\] -gpio_analog_sel\[23\] -gpio_dm2\[23\] -gpio_holdover\[23\] -gpio_out\[23\] -gpio_vtrip_sel\[23\] -gpio_ib_mode_sel\[23\] -gpio_oeb\[23\] -gpio_in_h\[23\] -gpio_loopback_one\[23\] -gpio_loopback_zero\[23\] - -gpio_in\[22\] -gpio_slow_sel\[22\] -analog_io\[22\] +analog_io\[23\] +gpio_slow_sel\[23\] +gpio_in\[23\] +gpio_loopback_zero\[22\] +gpio_loopback_one\[22\] +gpio_in_h\[22\] +gpio_oeb\[22\] +gpio_ib_mode_sel\[22\] +gpio_vtrip_sel\[22\] +gpio_out\[22\] +gpio_holdover\[22\] +gpio_dm2\[22\] +gpio_anlaog_sel\[22\] +gpio_ieb\[22\] +gpio_analog_pol\[22\] gpio_dm0\[22\] -analog_noesd_io\[22\] gpio_analog_en\[22\] +gpio_noesd_io\[22\] gpio_dm1\[22\] -gpio_analog_pol\[22\] -gpio_inp_dis\[22\] -gpio_analog_sel\[22\] -gpio_dm2\[22\] -gpio_holdover\[22\] -gpio_out\[22\] -gpio_vtrip_sel\[22\] -gpio_ib_mode_sel\[22\] -gpio_oeb\[22\] -gpio_in_h\[22\] -gpio_loopback_one\[22\] -gpio_loopback_zero\[22\] - -gpio_in\[21\] -gpio_slow_sel\[21\] -analog_io\[21\] +analog_io\[22\] +gpio_slow_sel\[22\] +gpio_in\[22\] +gpio_loopback_zero\[21\] +gpio_loopback_one\[21\] +gpio_in_h\[21\] +gpio_oeb\[21\] +gpio_ib_mode_sel\[21\] +gpio_vtrip_sel\[21\] +gpio_out\[21\] +gpio_holdover\[21\] +gpio_dm2\[21\] +gpio_anlaog_sel\[21\] +gpio_ieb\[21\] +gpio_analog_pol\[21\] gpio_dm0\[21\] -analog_noesd_io\[21\] gpio_analog_en\[21\] +gpio_noesd_io\[21\] gpio_dm1\[21\] -gpio_analog_pol\[21\] -gpio_inp_dis\[21\] -gpio_analog_sel\[21\] -gpio_dm2\[21\] -gpio_holdover\[21\] -gpio_out\[21\] -gpio_vtrip_sel\[21\] -gpio_ib_mode_sel\[21\] -gpio_oeb\[21\] -gpio_in_h\[21\] -gpio_loopback_one\[21\] -gpio_loopback_zero\[21\] - -gpio_in\[20\] -gpio_slow_sel\[20\] -analog_io\[20\] +analog_io\[21\] +gpio_slow_sel\[21\] +gpio_in\[21\] +gpio_loopback_zero\[20\] +gpio_loopback_one\[20\] +gpio_in_h\[20\] +gpio_oeb\[20\] +gpio_ib_mode_sel\[20\] +gpio_vtrip_sel\[20\] +gpio_out\[20\] +gpio_holdover\[20\] +gpio_dm2\[20\] +gpio_anlaog_sel\[20\] +gpio_ieb\[20\] +gpio_analog_pol\[20\] gpio_dm0\[20\] -analog_noesd_io\[20\] gpio_analog_en\[20\] +gpio_noesd_io\[20\] gpio_dm1\[20\] -gpio_analog_pol\[20\] -gpio_inp_dis\[20\] -gpio_analog_sel\[20\] -gpio_dm2\[20\] -gpio_holdover\[20\] -gpio_out\[20\] -gpio_vtrip_sel\[20\] -gpio_ib_mode_sel\[20\] -gpio_oeb\[20\] -gpio_in_h\[20\] -gpio_loopback_one\[20\] -gpio_loopback_zero\[20\] - -gpio_in\[19\] -gpio_slow_sel\[19\] -analog_io\[19\] +analog_io\[20\] +gpio_slow_sel\[20\] +gpio_in\[20\] +gpio_loopback_zero\[19\] +gpio_loopback_one\[19\] +gpio_in_h\[19\] +gpio_oeb\[19\] +gpio_ib_mode_sel\[19\] +gpio_vtrip_sel\[19\] +gpio_out\[19\] +gpio_holdover\[19\] +gpio_dm2\[19\] +gpio_anlaog_sel\[19\] +gpio_ieb\[19\] +gpio_analog_pol\[19\] gpio_dm0\[19\] -analog_noesd_io\[19\] gpio_analog_en\[19\] +gpio_noesd_io\[19\] gpio_dm1\[19\] -gpio_analog_pol\[19\] -gpio_inp_dis\[19\] -gpio_analog_sel\[19\] -gpio_dm2\[19\] -gpio_holdover\[19\] -gpio_out\[19\] -gpio_vtrip_sel\[19\] -gpio_ib_mode_sel\[19\] -gpio_oeb\[19\] -gpio_in_h\[19\] -gpio_loopback_one\[19\] -gpio_loopback_zero\[19\] - -gpio_in\[18\] -gpio_slow_sel\[18\] -analog_io\[18\] +analog_io\[19\] +gpio_slow_sel\[19\] +gpio_in\[19\] +gpio_loopback_zero\[18\] +gpio_loopback_one\[18\] +gpio_in_h\[18\] +gpio_oeb\[18\] +gpio_ib_mode_sel\[18\] +gpio_vtrip_sel\[18\] +gpio_out\[18\] +gpio_holdover\[18\] +gpio_dm2\[18\] +gpio_anlaog_sel\[18\] +gpio_ieb\[18\] +gpio_analog_pol\[18\] gpio_dm0\[18\] -analog_noesd_io\[18\] gpio_analog_en\[18\] +gpio_noesd_io\[18\] gpio_dm1\[18\] -gpio_analog_pol\[18\] -gpio_inp_dis\[18\] -gpio_analog_sel\[18\] -gpio_dm2\[18\] -gpio_holdover\[18\] -gpio_out\[18\] -gpio_vtrip_sel\[18\] -gpio_ib_mode_sel\[18\] -gpio_oeb\[18\] -gpio_in_h\[18\] -gpio_loopback_one\[18\] -gpio_loopback_zero\[18\] - -gpio_in\[17\] -gpio_slow_sel\[17\] -analog_io\[17\] +analog_io\[18\] +gpio_slow_sel\[18\] +gpio_in\[18\] +gpio_loopback_zero\[17\] +gpio_loopback_one\[17\] +gpio_in_h\[17\] +gpio_oeb\[17\] +gpio_ib_mode_sel\[17\] +gpio_vtrip_sel\[17\] +gpio_out\[17\] +gpio_holdover\[17\] +gpio_dm2\[17\] +gpio_anlaog_sel\[17\] +gpio_ieb\[17\] +gpio_analog_pol\[17\] gpio_dm0\[17\] -analog_noesd_io\[17\] gpio_analog_en\[17\] +gpio_noesd_io\[17\] gpio_dm1\[17\] -gpio_analog_pol\[17\] -gpio_inp_dis\[17\] -gpio_analog_sel\[17\] -gpio_dm2\[17\] -gpio_holdover\[17\] -gpio_out\[17\] -gpio_vtrip_sel\[17\] -gpio_ib_mode_sel\[17\] -gpio_oeb\[17\] -gpio_in_h\[17\] -gpio_loopback_one\[17\] -gpio_loopback_zero\[17\] - -gpio_in\[16\] -gpio_slow_sel\[16\] -analog_io\[16\] +analog_io\[17\] +gpio_slow_sel\[17\] +gpio_in\[17\] +gpio_loopback_zero\[16\] +gpio_loopback_one\[16\] +gpio_in_h\[16\] +gpio_oeb\[16\] +gpio_ib_mode_sel\[16\] +gpio_vtrip_sel\[16\] +gpio_out\[16\] +gpio_holdover\[16\] +gpio_dm2\[16\] +gpio_anlaog_sel\[16\] +gpio_ieb\[16\] +gpio_analog_pol\[16\] gpio_dm0\[16\] -analog_noesd_io\[16\] gpio_analog_en\[16\] +gpio_noesd_io\[16\] gpio_dm1\[16\] -gpio_analog_pol\[16\] -gpio_inp_dis\[16\] -gpio_analog_sel\[16\] -gpio_dm2\[16\] -gpio_holdover\[16\] -gpio_out\[16\] -gpio_vtrip_sel\[16\] -gpio_ib_mode_sel\[16\] -gpio_oeb\[16\] -gpio_in_h\[16\] -gpio_loopback_one\[16\] -gpio_loopback_zero\[16\] - -gpio_in\[15\] -gpio_slow_sel\[15\] -analog_io\[15\] +analog_io\[16\] +gpio_slow_sel\[16\] +gpio_in\[16\] +gpio_loopback_zero\[15\] +gpio_loopback_one\[15\] +gpio_in_h\[15\] +gpio_oeb\[15\] +gpio_ib_mode_sel\[15\] +gpio_vtrip_sel\[15\] +gpio_out\[15\] +gpio_holdover\[15\] +gpio_dm2\[15\] +gpio_anlaog_sel\[15\] +gpio_ieb\[15\] +gpio_analog_pol\[15\] gpio_dm0\[15\] -analog_noesd_io\[15\] gpio_analog_en\[15\] +gpio_noesd_io\[15\] gpio_dm1\[15\] -gpio_analog_pol\[15\] -gpio_inp_dis\[15\] -gpio_analog_sel\[15\] -gpio_dm2\[15\] -gpio_holdover\[15\] -gpio_out\[15\] -gpio_vtrip_sel\[15\] -gpio_ib_mode_sel\[15\] -gpio_oeb\[15\] -gpio_in_h\[15\] -gpio_loopback_one\[15\] -gpio_loopback_zero\[15\] - -#S -resetb_h -resetb_l -gpio_in\[38\] -gpio_slow_sel\[38\] -analog_io\[38\] -gpio_dm0\[38\] -analog_noesd_io\[38\] -gpio_analog_en\[38\] -gpio_dm1\[38\] -gpio_analog_pol\[38\] -gpio_inp_dis\[38\] -gpio_analog_sel\[38\] -gpio_dm2\[38\] -gpio_holdover\[38\] -gpio_out\[38\] -gpio_vtrip_sel\[38\] -gpio_ib_mode_sel\[38\] -gpio_oeb\[38\] -gpio_in_h\[38\] -gpio_loopback_one\[38\] -gpio_loopback_zero\[38\] - -gpio_in\[39\] -gpio_slow_sel\[39\] -analog_io\[39\] -gpio_dm0\[39\] -analog_noesd_io\[39\] -gpio_analog_en\[39\] -gpio_dm1\[39\] -gpio_analog_pol\[39\] -gpio_inp_dis\[39\] -gpio_analog_sel\[39\] -gpio_dm2\[39\] -gpio_holdover\[39\] -gpio_out\[39\] -gpio_vtrip_sel\[39\] -gpio_ib_mode_sel\[39\] -gpio_oeb\[39\] -gpio_in_h\[39\] -gpio_loopback_one\[39\] -gpio_loopback_zero\[39\] - -gpio_in\[40\] -gpio_slow_sel\[40\] -analog_io\[40\] -gpio_dm0\[40\] -analog_noesd_io\[40\] -gpio_analog_en\[40\] -gpio_dm1\[40\] -gpio_analog_pol\[40\] -gpio_inp_dis\[40\] -gpio_analog_sel\[40\] -gpio_dm2\[40\] -gpio_holdover\[40\] -gpio_out\[40\] -gpio_vtrip_sel\[40\] -gpio_ib_mode_sel\[40\] -gpio_oeb\[40\] -gpio_in_h\[40\] -gpio_loopback_one\[40\] -gpio_loopback_zero\[40\] - -gpio_in\[41\] -gpio_slow_sel\[41\] -analog_io\[41\] -gpio_dm0\[41\] -analog_noesd_io\[41\] -gpio_analog_en\[41\] -gpio_dm1\[41\] -gpio_analog_pol\[41\] -gpio_inp_dis\[41\] -gpio_analog_sel\[41\] -gpio_dm2\[41\] -gpio_holdover\[41\] -gpio_out\[41\] -gpio_vtrip_sel\[41\] -gpio_ib_mode_sel\[41\] -gpio_oeb\[41\] -gpio_in_h\[41\] -gpio_loopback_one\[41\] -gpio_loopback_zero\[41\] - -gpio_in\[42\] -gpio_slow_sel\[42\] -analog_io\[42\] -gpio_dm0\[42\] -analog_noesd_io\[42\] -gpio_analog_en\[42\] -gpio_dm1\[42\] -gpio_analog_pol\[42\] -gpio_inp_dis\[42\] -gpio_analog_sel\[42\] -gpio_dm2\[42\] -gpio_holdover\[42\] -gpio_out\[42\] -gpio_vtrip_sel\[42\] -gpio_ib_mode_sel\[42\] -gpio_oeb\[42\] -gpio_in_h\[42\] -gpio_loopback_one\[42\] -gpio_loopback_zero\[42\] - -gpio_in\[43\] -gpio_slow_sel\[43\] -analog_io\[43\] -gpio_dm0\[43\] -analog_noesd_io\[43\] -gpio_analog_en\[43\] -gpio_dm1\[43\] -gpio_analog_pol\[43\] -gpio_inp_dis\[43\] -gpio_analog_sel\[43\] -gpio_dm2\[43\] -gpio_holdover\[43\] -gpio_out\[43\] -gpio_vtrip_sel\[43\] -gpio_ib_mode_sel\[43\] -gpio_oeb\[43\] -gpio_in_h\[43\] -gpio_loopback_one\[43\] -gpio_loopback_zero\[43\] - -mask_rev\[0\] -mask_rev\[1\] -mask_rev\[2\] -mask_rev\[3\] -mask_rev\[4\] -mask_rev\[5\] -mask_rev\[6\] -mask_rev\[7\] -mask_rev\[8\] -mask_rev\[9\] -mask_rev\[10\] -mask_rev\[11\] -mask_rev\[12\] -mask_rev\[13\] -mask_rev\[14\] -mask_rev\[15\] -mask_rev\[16\] -mask_rev\[17\] -mask_rev\[18\] -mask_rev\[19\] -mask_rev\[20\] -mask_rev\[21\] -mask_rev\[22\] -mask_rev\[23\] -mask_rev\[24\] -mask_rev\[25\] -mask_rev\[26\] -mask_rev\[27\] -mask_rev\[28\] -mask_rev\[29\] -mask_rev\[30\] -mask_rev\[31\] - +analog_io\[15\] +gpio_slow_sel\[15\] +gpio_in\[15\] #E -gpio_in\[14\] -gpio_slow_sel\[14\] -analog_io\[14\] -gpio_dm0\[14\] -analog_noesd_io\[14\] -gpio_analog_en\[14\] -gpio_dm1\[14\] -gpio_analog_pol\[14\] -gpio_inp_dis\[14\] -gpio_analog_sel\[14\] -gpio_dm2\[14\] -gpio_holdover\[14\] -gpio_out\[14\] -gpio_vtrip_sel\[14\] -gpio_ib_mode_sel\[14\] -gpio_oeb\[14\] -gpio_in_h\[14\] -gpio_loopback_one\[14\] -gpio_loopback_zero\[14\] - -gpio_in\[13\] -gpio_slow_sel\[13\] -analog_io\[13\] -gpio_dm0\[13\] -analog_noesd_io\[13\] -gpio_analog_en\[13\] -gpio_dm1\[13\] -gpio_analog_pol\[13\] -gpio_inp_dis\[13\] -gpio_analog_sel\[13\] -gpio_dm2\[13\] -gpio_holdover\[13\] -gpio_out\[13\] -gpio_vtrip_sel\[13\] -gpio_ib_mode_sel\[13\] -gpio_oeb\[13\] -gpio_in_h\[13\] -gpio_loopback_one\[13\] -gpio_loopback_zero\[13\] - -gpio_in\[12\] -gpio_slow_sel\[12\] -analog_io\[12\] -gpio_dm0\[12\] -analog_noesd_io\[12\] -gpio_analog_en\[12\] -gpio_dm1\[12\] -gpio_analog_pol\[12\] -gpio_inp_dis\[12\] -gpio_analog_sel\[12\] -gpio_dm2\[12\] -gpio_holdover\[12\] -gpio_out\[12\] -gpio_vtrip_sel\[12\] -gpio_ib_mode_sel\[12\] -gpio_oeb\[12\] -gpio_in_h\[12\] -gpio_loopback_one\[12\] -gpio_loopback_zero\[12\] - -gpio_in\[11\] -gpio_slow_sel\[11\] -analog_io\[11\] -gpio_dm0\[11\] -analog_noesd_io\[11\] -gpio_analog_en\[11\] -gpio_dm1\[11\] -gpio_analog_pol\[11\] -gpio_inp_dis\[11\] -gpio_analog_sel\[11\] -gpio_dm2\[11\] -gpio_holdover\[11\] -gpio_out\[11\] -gpio_vtrip_sel\[11\] -gpio_ib_mode_sel\[11\] -gpio_oeb\[11\] -gpio_in_h\[11\] -gpio_loopback_one\[11\] -gpio_loopback_zero\[11\] - -gpio_in\[10\] -gpio_slow_sel\[10\] -analog_io\[10\] -gpio_dm0\[10\] -analog_noesd_io\[10\] -gpio_analog_en\[10\] -gpio_dm1\[10\] -gpio_analog_pol\[10\] -gpio_inp_dis\[10\] -gpio_analog_sel\[10\] -gpio_dm2\[10\] -gpio_holdover\[10\] -gpio_out\[10\] -gpio_vtrip_sel\[10\] -gpio_ib_mode_sel\[10\] -gpio_oeb\[10\] -gpio_in_h\[10\] -gpio_loopback_one\[10\] -gpio_loopback_zero\[10\] - -gpio_in\[9\] -gpio_slow_sel\[9\] -analog_io\[9\] -gpio_dm0\[9\] -analog_noesd_io\[9\] -gpio_analog_en\[9\] -gpio_dm1\[9\] -gpio_analog_pol\[9\] -gpio_inp_dis\[9\] -gpio_analog_sel\[9\] -gpio_dm2\[9\] -gpio_holdover\[9\] -gpio_out\[9\] -gpio_vtrip_sel\[9\] -gpio_ib_mode_sel\[9\] -gpio_oeb\[9\] -gpio_in_h\[9\] -gpio_loopback_one\[9\] -gpio_loopback_zero\[9\] - -gpio_in\[8\] -gpio_slow_sel\[8\] -analog_io\[8\] -gpio_dm0\[8\] -analog_noesd_io\[8\] -gpio_analog_en\[8\] -gpio_dm1\[8\] -gpio_analog_pol\[8\] -gpio_inp_dis\[8\] -gpio_analog_sel\[8\] -gpio_dm2\[8\] -gpio_holdover\[8\] -gpio_out\[8\] -gpio_vtrip_sel\[8\] -gpio_ib_mode_sel\[8\] -gpio_oeb\[8\] -gpio_in_h\[8\] -gpio_loopback_one\[8\] -gpio_loopback_zero\[8\] - -gpio_in\[7\] -gpio_slow_sel\[7\] -analog_io\[7\] -gpio_dm0\[7\] -analog_noesd_io\[7\] -gpio_analog_en\[7\] -gpio_dm1\[7\] -gpio_analog_pol\[7\] -gpio_inp_dis\[7\] -gpio_analog_sel\[7\] -gpio_dm2\[7\] -gpio_holdover\[7\] -gpio_out\[7\] -gpio_vtrip_sel\[7\] -gpio_ib_mode_sel\[7\] -gpio_oeb\[7\] -gpio_in_h\[7\] -gpio_loopback_one\[7\] -gpio_loopback_zero\[7\] - -gpio_in\[6\] -gpio_slow_sel\[6\] -analog_io\[6\] -gpio_dm0\[6\] -analog_noesd_io\[6\] -gpio_analog_en\[6\] -gpio_dm1\[6\] -gpio_analog_pol\[6\] -gpio_inp_dis\[6\] -gpio_analog_sel\[6\] -gpio_dm2\[6\] -gpio_holdover\[6\] -gpio_out\[6\] -gpio_vtrip_sel\[6\] -gpio_ib_mode_sel\[6\] -gpio_oeb\[6\] -gpio_in_h\[6\] -gpio_loopback_one\[6\] -gpio_loopback_zero\[6\] - -gpio_in\[5\] -gpio_slow_sel\[5\] -analog_io\[5\] -gpio_dm0\[5\] -analog_noesd_io\[5\] -gpio_analog_en\[5\] -gpio_dm1\[5\] -gpio_analog_pol\[5\] -gpio_inp_dis\[5\] -gpio_analog_sel\[5\] -gpio_dm2\[5\] -gpio_holdover\[5\] -gpio_out\[5\] -gpio_vtrip_sel\[5\] -gpio_ib_mode_sel\[5\] -gpio_oeb\[5\] -gpio_in_h\[5\] -gpio_loopback_one\[5\] -gpio_loopback_zero\[5\] - -gpio_in\[4\] -gpio_slow_sel\[4\] -analog_io\[4\] -gpio_dm0\[4\] -analog_noesd_io\[4\] -gpio_analog_en\[4\] -gpio_dm1\[4\] -gpio_analog_pol\[4\] -gpio_inp_dis\[4\] -gpio_analog_sel\[4\] -gpio_dm2\[4\] -gpio_holdover\[4\] -gpio_out\[4\] -gpio_vtrip_sel\[4\] -gpio_ib_mode_sel\[4\] -gpio_oeb\[4\] -gpio_in_h\[4\] -gpio_loopback_one\[4\] -gpio_loopback_zero\[4\] - -gpio_in\[3\] -gpio_slow_sel\[3\] -analog_io\[3\] -gpio_dm0\[3\] -analog_noesd_io\[3\] -gpio_analog_en\[3\] -gpio_dm1\[3\] -gpio_analog_pol\[3\] -gpio_inp_dis\[3\] -gpio_analog_sel\[3\] -gpio_dm2\[3\] -gpio_holdover\[3\] -gpio_out\[3\] -gpio_vtrip_sel\[3\] -gpio_ib_mode_sel\[3\] -gpio_oeb\[3\] -gpio_in_h\[3\] -gpio_loopback_one\[3\] -gpio_loopback_zero\[3\] - -gpio_in\[2\] -gpio_slow_sel\[2\] -analog_io\[2\] -gpio_dm0\[2\] -analog_noesd_io\[2\] -gpio_analog_en\[2\] -gpio_dm1\[2\] -gpio_analog_pol\[2\] -gpio_inp_dis\[2\] -gpio_analog_sel\[2\] -gpio_dm2\[2\] -gpio_holdover\[2\] -gpio_out\[2\] -gpio_vtrip_sel\[2\] -gpio_ib_mode_sel\[2\] -gpio_oeb\[2\] -gpio_in_h\[2\] -gpio_loopback_one\[2\] -gpio_loopback_zero\[2\] - -gpio_in\[1\] -gpio_slow_sel\[1\] -analog_io\[1\] -gpio_dm0\[1\] -analog_noesd_io\[1\] -gpio_analog_en\[1\] -gpio_dm1\[1\] -gpio_analog_pol\[1\] -gpio_inp_dis\[1\] -gpio_analog_sel\[1\] -gpio_dm2\[1\] -gpio_holdover\[1\] -gpio_out\[1\] -gpio_vtrip_sel\[1\] -gpio_ib_mode_sel\[1\] -gpio_oeb\[1\] -gpio_in_h\[1\] -gpio_loopback_one\[1\] -gpio_loopback_zero\[1\] - gpio_in\[0\] gpio_slow_sel\[0\] analog_io\[0\] -gpio_dm0\[0\] -analog_noesd_io\[0\] -gpio_analog_en\[0\] gpio_dm1\[0\] +gpio_noesd_io\[0\] +gpio_analog_en\[0\] +gpio_dm0\[0\] gpio_analog_pol\[0\] -gpio_inp_dis\[0\] -gpio_analog_sel\[0\] +gpio_ieb\[0\] +gpio_anlaog_sel\[0\] gpio_dm2\[0\] gpio_holdover\[0\] gpio_out\[0\] @@ -637,290 +191,655 @@ gpio_oeb\[0\] gpio_in_h\[0\] gpio_loopback_one\[0\] gpio_loopback_zero\[0\] - - -#WR - -gpio_in\[24\] -gpio_slow_sel\[24\] -analog_io\[24\] -gpio_dm0\[24\] -analog_noesd_io\[24\] -gpio_analog_en\[24\] -gpio_dm1\[24\] -gpio_analog_pol\[24\] -gpio_inp_dis\[24\] -gpio_analog_sel\[24\] -gpio_dm2\[24\] -gpio_holdover\[24\] -gpio_out\[24\] -gpio_vtrip_sel\[24\] -gpio_ib_mode_sel\[24\] -gpio_oeb\[24\] -gpio_in_h\[24\] -gpio_loopback_one\[24\] -gpio_loopback_zero\[24\] - -gpio_in\[25\] -gpio_slow_sel\[25\] -analog_io\[25\] -gpio_dm0\[25\] -analog_noesd_io\[25\] -gpio_analog_en\[25\] -gpio_dm1\[25\] -gpio_analog_pol\[25\] -gpio_inp_dis\[25\] -gpio_analog_sel\[25\] -gpio_dm2\[25\] -gpio_holdover\[25\] -gpio_out\[25\] -gpio_vtrip_sel\[25\] -gpio_ib_mode_sel\[25\] -gpio_oeb\[25\] -gpio_in_h\[25\] -gpio_loopback_one\[25\] -gpio_loopback_zero\[25\] - -gpio_in\[26\] -gpio_slow_sel\[26\] -analog_io\[26\] -gpio_dm0\[26\] -analog_noesd_io\[26\] -gpio_analog_en\[26\] -gpio_dm1\[26\] -gpio_analog_pol\[26\] -gpio_inp_dis\[26\] -gpio_analog_sel\[26\] -gpio_dm2\[26\] -gpio_holdover\[26\] -gpio_out\[26\] -gpio_vtrip_sel\[26\] -gpio_ib_mode_sel\[26\] -gpio_oeb\[26\] -gpio_in_h\[26\] -gpio_loopback_one\[26\] -gpio_loopback_zero\[26\] - -gpio_in\[27\] -gpio_slow_sel\[27\] -analog_io\[27\] -gpio_dm0\[27\] -analog_noesd_io\[27\] -gpio_analog_en\[27\] -gpio_dm1\[27\] -gpio_analog_pol\[27\] -gpio_inp_dis\[27\] -gpio_analog_sel\[27\] -gpio_dm2\[27\] -gpio_holdover\[27\] -gpio_out\[27\] -gpio_vtrip_sel\[27\] -gpio_ib_mode_sel\[27\] -gpio_oeb\[27\] -gpio_in_h\[27\] -gpio_loopback_one\[27\] -gpio_loopback_zero\[27\] - -gpio_in\[28\] -gpio_slow_sel\[28\] -analog_io\[28\] -gpio_dm0\[28\] -analog_noesd_io\[28\] -gpio_analog_en\[28\] -gpio_dm1\[28\] -gpio_analog_pol\[28\] -gpio_inp_dis\[28\] -gpio_analog_sel\[28\] -gpio_dm2\[28\] -gpio_holdover\[28\] -gpio_out\[28\] -gpio_vtrip_sel\[28\] -gpio_ib_mode_sel\[28\] -gpio_oeb\[28\] -gpio_in_h\[28\] -gpio_loopback_one\[28\] -gpio_loopback_zero\[28\] - -gpio_in\[29\] -gpio_slow_sel\[29\] -analog_io\[29\] -gpio_dm0\[29\] -analog_noesd_io\[29\] -gpio_analog_en\[29\] -gpio_dm1\[29\] -gpio_analog_pol\[29\] -gpio_inp_dis\[29\] -gpio_analog_sel\[29\] -gpio_dm2\[29\] -gpio_holdover\[29\] -gpio_out\[29\] -gpio_vtrip_sel\[29\] -gpio_ib_mode_sel\[29\] -gpio_oeb\[29\] -gpio_in_h\[29\] -gpio_loopback_one\[29\] -gpio_loopback_zero\[29\] - -gpio_in\[30\] -gpio_slow_sel\[30\] -analog_io\[30\] -gpio_dm0\[30\] -analog_noesd_io\[30\] -gpio_analog_en\[30\] -gpio_dm1\[30\] -gpio_analog_pol\[30\] -gpio_inp_dis\[30\] -gpio_analog_sel\[30\] -gpio_dm2\[30\] -gpio_holdover\[30\] -gpio_out\[30\] -gpio_vtrip_sel\[30\] -gpio_ib_mode_sel\[30\] -gpio_oeb\[30\] -gpio_in_h\[30\] -gpio_loopback_one\[30\] -gpio_loopback_zero\[30\] - -gpio_in\[31\] -gpio_slow_sel\[31\] -analog_io\[31\] -gpio_dm0\[31\] -analog_noesd_io\[31\] -gpio_analog_en\[31\] -gpio_dm1\[31\] -gpio_analog_pol\[31\] -gpio_inp_dis\[31\] -gpio_analog_sel\[31\] -gpio_dm2\[31\] -gpio_holdover\[31\] -gpio_out\[31\] -gpio_vtrip_sel\[31\] -gpio_ib_mode_sel\[31\] -gpio_oeb\[31\] -gpio_in_h\[31\] -gpio_loopback_one\[31\] -gpio_loopback_zero\[31\] - -gpio_in\[32\] -gpio_slow_sel\[32\] -analog_io\[32\] -gpio_dm0\[32\] -analog_noesd_io\[32\] -gpio_analog_en\[32\] -gpio_dm1\[32\] -gpio_analog_pol\[32\] -gpio_inp_dis\[32\] -gpio_analog_sel\[32\] -gpio_dm2\[32\] -gpio_holdover\[32\] -gpio_out\[32\] -gpio_vtrip_sel\[32\] -gpio_ib_mode_sel\[32\] -gpio_oeb\[32\] -gpio_in_h\[32\] -gpio_loopback_one\[32\] -gpio_loopback_zero\[32\] - -gpio_in\[33\] -gpio_slow_sel\[33\] -analog_io\[33\] -gpio_dm0\[33\] -analog_noesd_io\[33\] -gpio_analog_en\[33\] -gpio_dm1\[33\] -gpio_analog_pol\[33\] -gpio_inp_dis\[33\] -gpio_analog_sel\[33\] -gpio_dm2\[33\] -gpio_holdover\[33\] -gpio_out\[33\] -gpio_vtrip_sel\[33\] -gpio_ib_mode_sel\[33\] -gpio_oeb\[33\] -gpio_in_h\[33\] -gpio_loopback_one\[33\] -gpio_loopback_zero\[33\] - -gpio_in\[34\] -gpio_slow_sel\[34\] -analog_io\[34\] -gpio_dm0\[34\] -analog_noesd_io\[34\] -gpio_analog_en\[34\] -gpio_dm1\[34\] -gpio_analog_pol\[34\] -gpio_inp_dis\[34\] -gpio_analog_sel\[34\] -gpio_dm2\[34\] -gpio_holdover\[34\] -gpio_out\[34\] -gpio_vtrip_sel\[34\] -gpio_ib_mode_sel\[34\] -gpio_oeb\[34\] -gpio_in_h\[34\] -gpio_loopback_one\[34\] -gpio_loopback_zero\[34\] - -gpio_in\[35\] -gpio_slow_sel\[35\] -analog_io\[35\] -gpio_dm0\[35\] -analog_noesd_io\[35\] -gpio_analog_en\[35\] -gpio_dm1\[35\] -gpio_analog_pol\[35\] -gpio_inp_dis\[35\] -gpio_analog_sel\[35\] -gpio_dm2\[35\] -gpio_holdover\[35\] -gpio_out\[35\] -gpio_vtrip_sel\[35\] -gpio_ib_mode_sel\[35\] -gpio_oeb\[35\] -gpio_in_h\[35\] -gpio_loopback_one\[35\] -gpio_loopback_zero\[35\] - -gpio_in\[36\] -gpio_slow_sel\[36\] -analog_io\[36\] -gpio_dm0\[36\] -analog_noesd_io\[36\] -gpio_analog_en\[36\] -gpio_dm1\[36\] -gpio_analog_pol\[36\] -gpio_inp_dis\[36\] -gpio_analog_sel\[36\] -gpio_dm2\[36\] -gpio_holdover\[36\] -gpio_out\[36\] -gpio_vtrip_sel\[36\] -gpio_ib_mode_sel\[36\] -gpio_oeb\[36\] -gpio_in_h\[36\] -gpio_loopback_one\[36\] -gpio_loopback_zero\[36\] - -gpio_in\[37\] -gpio_slow_sel\[37\] -analog_io\[37\] +gpio_in\[1\] +gpio_slow_sel\[1\] +analog_io\[1\] +gpio_dm1\[1\] +gpio_noesd_io\[1\] +gpio_analog_en\[1\] +gpio_dm0\[1\] +gpio_analog_pol\[1\] +gpio_ieb\[1\] +gpio_anlaog_sel\[1\] +gpio_dm2\[1\] +gpio_holdover\[1\] +gpio_out\[1\] +gpio_vtrip_sel\[1\] +gpio_ib_mode_sel\[1\] +gpio_oeb\[1\] +gpio_in_h\[1\] +gpio_loopback_one\[1\] +gpio_loopback_zero\[1\] +gpio_in\[2\] +gpio_slow_sel\[2\] +analog_io\[2\] +gpio_dm1\[2\] +gpio_noesd_io\[2\] +gpio_analog_en\[2\] +gpio_dm0\[2\] +gpio_analog_pol\[2\] +gpio_ieb\[2\] +gpio_anlaog_sel\[2\] +gpio_dm2\[2\] +gpio_holdover\[2\] +gpio_out\[2\] +gpio_vtrip_sel\[2\] +gpio_ib_mode_sel\[2\] +gpio_oeb\[2\] +gpio_in_h\[2\] +gpio_loopback_one\[2\] +gpio_loopback_zero\[2\] +gpio_in\[3\] +gpio_slow_sel\[3\] +analog_io\[3\] +gpio_dm1\[3\] +gpio_noesd_io\[3\] +gpio_analog_en\[3\] +gpio_dm0\[3\] +gpio_analog_pol\[3\] +gpio_ieb\[3\] +gpio_anlaog_sel\[3\] +gpio_dm2\[3\] +gpio_holdover\[3\] +gpio_out\[3\] +gpio_vtrip_sel\[3\] +gpio_ib_mode_sel\[3\] +gpio_oeb\[3\] +gpio_in_h\[3\] +gpio_loopback_one\[3\] +gpio_loopback_zero\[3\] +gpio_in\[4\] +gpio_slow_sel\[4\] +analog_io\[4\] +gpio_dm1\[4\] +gpio_noesd_io\[4\] +gpio_analog_en\[4\] +gpio_dm0\[4\] +gpio_analog_pol\[4\] +gpio_ieb\[4\] +gpio_anlaog_sel\[4\] +gpio_dm2\[4\] +gpio_holdover\[4\] +gpio_out\[4\] +gpio_vtrip_sel\[4\] +gpio_ib_mode_sel\[4\] +gpio_oeb\[4\] +gpio_in_h\[4\] +gpio_loopback_one\[4\] +gpio_loopback_zero\[4\] +gpio_in\[5\] +gpio_slow_sel\[5\] +analog_io\[5\] +gpio_dm1\[5\] +gpio_noesd_io\[5\] +gpio_analog_en\[5\] +gpio_dm0\[5\] +gpio_analog_pol\[5\] +gpio_ieb\[5\] +gpio_anlaog_sel\[5\] +gpio_dm2\[5\] +gpio_holdover\[5\] +gpio_out\[5\] +gpio_vtrip_sel\[5\] +gpio_ib_mode_sel\[5\] +gpio_oeb\[5\] +gpio_in_h\[5\] +gpio_loopback_one\[5\] +gpio_loopback_zero\[5\] +gpio_in\[6\] +gpio_slow_sel\[6\] +analog_io\[6\] +gpio_dm1\[6\] +gpio_noesd_io\[6\] +gpio_analog_en\[6\] +gpio_dm0\[6\] +gpio_analog_pol\[6\] +gpio_ieb\[6\] +gpio_anlaog_sel\[6\] +gpio_dm2\[6\] +gpio_holdover\[6\] +gpio_out\[6\] +gpio_vtrip_sel\[6\] +gpio_ib_mode_sel\[6\] +gpio_oeb\[6\] +gpio_in_h\[6\] +gpio_loopback_one\[6\] +gpio_loopback_zero\[6\] +gpio_in\[7\] +gpio_slow_sel\[7\] +analog_io\[7\] +gpio_dm1\[7\] +gpio_noesd_io\[7\] +gpio_analog_en\[7\] +gpio_dm0\[7\] +gpio_analog_pol\[7\] +gpio_ieb\[7\] +gpio_anlaog_sel\[7\] +gpio_dm2\[7\] +gpio_holdover\[7\] +gpio_out\[7\] +gpio_vtrip_sel\[7\] +gpio_ib_mode_sel\[7\] +gpio_oeb\[7\] +gpio_in_h\[7\] +gpio_loopback_one\[7\] +gpio_loopback_zero\[7\] +gpio_in\[8\] +gpio_slow_sel\[8\] +analog_io\[8\] +gpio_dm1\[8\] +gpio_noesd_io\[8\] +gpio_analog_en\[8\] +gpio_dm0\[8\] +gpio_analog_pol\[8\] +gpio_ieb\[8\] +gpio_anlaog_sel\[8\] +gpio_dm2\[8\] +gpio_holdover\[8\] +gpio_out\[8\] +gpio_vtrip_sel\[8\] +gpio_ib_mode_sel\[8\] +gpio_oeb\[8\] +gpio_in_h\[8\] +gpio_loopback_one\[8\] +gpio_loopback_zero\[8\] +gpio_in\[9\] +gpio_slow_sel\[9\] +analog_io\[9\] +gpio_dm1\[9\] +gpio_noesd_io\[9\] +gpio_analog_en\[9\] +gpio_dm0\[9\] +gpio_analog_pol\[9\] +gpio_ieb\[9\] +gpio_anlaog_sel\[9\] +gpio_dm2\[9\] +gpio_holdover\[9\] +gpio_out\[9\] +gpio_vtrip_sel\[9\] +gpio_ib_mode_sel\[9\] +gpio_oeb\[9\] +gpio_in_h\[9\] +gpio_loopback_one\[9\] +gpio_loopback_zero\[9\] +gpio_in\[10\] +gpio_slow_sel\[10\] +analog_io\[10\] +gpio_dm1\[10\] +gpio_noesd_io\[10\] +gpio_analog_en\[10\] +gpio_dm0\[10\] +gpio_analog_pol\[10\] +gpio_ieb\[10\] +gpio_anlaog_sel\[10\] +gpio_dm2\[10\] +gpio_holdover\[10\] +gpio_out\[10\] +gpio_vtrip_sel\[10\] +gpio_ib_mode_sel\[10\] +gpio_oeb\[10\] +gpio_in_h\[10\] +gpio_loopback_one\[10\] +gpio_loopback_zero\[10\] +gpio_in\[11\] +gpio_slow_sel\[11\] +analog_io\[11\] +gpio_dm1\[11\] +gpio_noesd_io\[11\] +gpio_analog_en\[11\] +gpio_dm0\[11\] +gpio_analog_pol\[11\] +gpio_ieb\[11\] +gpio_anlaog_sel\[11\] +gpio_dm2\[11\] +gpio_holdover\[11\] +gpio_out\[11\] +gpio_vtrip_sel\[11\] +gpio_ib_mode_sel\[11\] +gpio_oeb\[11\] +gpio_in_h\[11\] +gpio_loopback_one\[11\] +gpio_loopback_zero\[11\] +gpio_in\[12\] +gpio_slow_sel\[12\] +analog_io\[12\] +gpio_dm1\[12\] +gpio_noesd_io\[12\] +gpio_analog_en\[12\] +gpio_dm0\[12\] +gpio_analog_pol\[12\] +gpio_ieb\[12\] +gpio_anlaog_sel\[12\] +gpio_dm2\[12\] +gpio_holdover\[12\] +gpio_out\[12\] +gpio_vtrip_sel\[12\] +gpio_ib_mode_sel\[12\] +gpio_oeb\[12\] +gpio_in_h\[12\] +gpio_loopback_one\[12\] +gpio_loopback_zero\[12\] +gpio_in\[13\] +gpio_slow_sel\[13\] +analog_io\[13\] +gpio_dm1\[13\] +gpio_noesd_io\[13\] +gpio_analog_en\[13\] +gpio_dm0\[13\] +gpio_analog_pol\[13\] +gpio_ieb\[13\] +gpio_anlaog_sel\[13\] +gpio_dm2\[13\] +gpio_holdover\[13\] +gpio_out\[13\] +gpio_vtrip_sel\[13\] +gpio_ib_mode_sel\[13\] +gpio_oeb\[13\] +gpio_in_h\[13\] +gpio_loopback_one\[13\] +gpio_loopback_zero\[13\] +gpio_in\[14\] +gpio_slow_sel\[14\] +analog_io\[14\] +gpio_dm1\[14\] +gpio_noesd_io\[14\] +gpio_analog_en\[14\] +gpio_dm0\[14\] +gpio_analog_pol\[14\] +gpio_ieb\[14\] +gpio_anlaog_sel\[14\] +gpio_dm2\[14\] +gpio_holdover\[14\] +gpio_out\[14\] +gpio_vtrip_sel\[14\] +gpio_ib_mode_sel\[14\] +gpio_oeb\[14\] +gpio_in_h\[14\] +gpio_loopback_one\[14\] +gpio_loopback_zero\[14\] +#S +resetb +gpio_in\[38\] +gpio_slow_sel\[38\] +analog_io\[38\] +gpio_dm1\[38\] +gpio_noesd_io\[38\] +gpio_analog_en\[38\] +gpio_dm0\[38\] +gpio_analog_pol\[38\] +gpio_ieb\[38\] +gpio_anlaog_sel\[38\] +gpio_dm2\[38\] +gpio_holdover\[38\] +gpio_out\[38\] +gpio_vtrip_sel\[38\] +gpio_ib_mode_sel\[38\] +gpio_oeb\[38\] +gpio_in_h\[38\] +gpio_loopback_one\[38\] +gpio_loopback_zero\[38\] +gpio_in\[39\] +gpio_slow_sel\[39\] +analog_io\[39\] +gpio_dm1\[39\] +gpio_noesd_io\[39\] +gpio_analog_en\[39\] +gpio_dm0\[39\] +gpio_analog_pol\[39\] +gpio_ieb\[39\] +gpio_anlaog_sel\[39\] +gpio_dm2\[39\] +gpio_holdover\[39\] +gpio_out\[39\] +gpio_vtrip_sel\[39\] +gpio_ib_mode_sel\[39\] +gpio_oeb\[39\] +gpio_in_h\[39\] +gpio_loopback_one\[39\] +gpio_loopback_zero\[39\] +gpio_in\[40\] +gpio_slow_sel\[40\] +analog_io\[40\] +gpio_dm1\[40\] +gpio_noesd_io\[40\] +gpio_analog_en\[40\] +gpio_dm0\[40\] +gpio_analog_pol\[40\] +gpio_ieb\[40\] +gpio_anlaog_sel\[40\] +gpio_dm2\[40\] +gpio_holdover\[40\] +gpio_out\[40\] +gpio_vtrip_sel\[40\] +gpio_ib_mode_sel\[40\] +gpio_oeb\[40\] +gpio_in_h\[40\] +gpio_loopback_one\[40\] +gpio_loopback_zero\[40\] +gpio_in\[41\] +gpio_slow_sel\[41\] +analog_io\[41\] +gpio_dm1\[41\] +gpio_noesd_io\[41\] +gpio_analog_en\[41\] +gpio_dm0\[41\] +gpio_analog_pol\[41\] +gpio_ieb\[41\] +gpio_anlaog_sel\[41\] +gpio_dm2\[41\] +gpio_holdover\[41\] +gpio_out\[41\] +gpio_vtrip_sel\[41\] +gpio_ib_mode_sel\[41\] +gpio_oeb\[41\] +gpio_in_h\[41\] +gpio_loopback_one\[41\] +gpio_loopback_zero\[41\] +gpio_in\[42\] +gpio_slow_sel\[42\] +analog_io\[42\] +gpio_dm1\[42\] +gpio_noesd_io\[42\] +gpio_analog_en\[42\] +gpio_dm0\[42\] +gpio_analog_pol\[42\] +gpio_ieb\[42\] +gpio_anlaog_sel\[42\] +gpio_dm2\[42\] +gpio_holdover\[42\] +gpio_out\[42\] +gpio_vtrip_sel\[42\] +gpio_ib_mode_sel\[42\] +gpio_oeb\[42\] +gpio_in_h\[42\] +gpio_loopback_one\[42\] +gpio_loopback_zero\[42\] +gpio_in\[43\] +gpio_slow_sel\[43\] +analog_io\[43\] +gpio_dm1\[43\] +gpio_noesd_io\[43\] +gpio_analog_en\[43\] +gpio_dm0\[43\] +gpio_analog_pol\[43\] +gpio_ieb\[43\] +gpio_anlaog_sel\[43\] +gpio_dm2\[43\] +gpio_holdover\[43\] +gpio_out\[43\] +gpio_vtrip_sel\[43\] +gpio_ib_mode_sel\[43\] +gpio_oeb\[43\] +gpio_in_h\[43\] +gpio_loopback_one\[43\] +gpio_loopback_zero\[43\] +mask_rev.* +#W +porb +por +gpio_loopback_zero\[37\] +gpio_loopback_one\[37\] +gpio_in_h\[37\] +gpio_oeb\[37\] +gpio_ib_mode_sel\[37\] +gpio_vtrip_sel\[37\] +gpio_out\[37\] +gpio_holdover\[37\] +gpio_dm2\[37\] +gpio_anlaog_sel\[37\] +gpio_ieb\[37\] +gpio_analog_pol\[37\] gpio_dm0\[37\] -analog_noesd_io\[37\] gpio_analog_en\[37\] +gpio_noesd_io\[37\] gpio_dm1\[37\] -gpio_analog_pol\[37\] -gpio_inp_dis\[37\] -gpio_analog_sel\[37\] -gpio_dm2\[37\] -gpio_holdover\[37\] -gpio_out\[37\] -gpio_vtrip_sel\[37\] -gpio_ib_mode_sel\[37\] -gpio_oeb\[37\] -gpio_in_h\[37\] -gpio_loopback_one\[37\] -gpio_loopback_zero\[37\] - -porb_l -por_l -porb_h +analog_io\[37\] +gpio_slow_sel\[37\] +gpio_in\[37\] +gpio_loopback_zero\[36\] +gpio_loopback_one\[36\] +gpio_in_h\[36\] +gpio_oeb\[36\] +gpio_ib_mode_sel\[36\] +gpio_vtrip_sel\[36\] +gpio_out\[36\] +gpio_holdover\[36\] +gpio_dm2\[36\] +gpio_anlaog_sel\[36\] +gpio_ieb\[36\] +gpio_analog_pol\[36\] +gpio_dm0\[36\] +gpio_analog_en\[36\] +gpio_noesd_io\[36\] +gpio_dm1\[36\] +analog_io\[36\] +gpio_slow_sel\[36\] +gpio_in\[36\] +gpio_loopback_zero\[35\] +gpio_loopback_one\[35\] +gpio_in_h\[35\] +gpio_oeb\[35\] +gpio_ib_mode_sel\[35\] +gpio_vtrip_sel\[35\] +gpio_out\[35\] +gpio_holdover\[35\] +gpio_dm2\[35\] +gpio_anlaog_sel\[35\] +gpio_ieb\[35\] +gpio_analog_pol\[35\] +gpio_dm0\[35\] +gpio_analog_en\[35\] +gpio_noesd_io\[35\] +gpio_dm1\[35\] +analog_io\[35\] +gpio_slow_sel\[35\] +gpio_in\[35\] +gpio_loopback_zero\[34\] +gpio_loopback_one\[34\] +gpio_in_h\[34\] +gpio_oeb\[34\] +gpio_ib_mode_sel\[34\] +gpio_vtrip_sel\[34\] +gpio_out\[34\] +gpio_holdover\[34\] +gpio_dm2\[34\] +gpio_anlaog_sel\[34\] +gpio_ieb\[34\] +gpio_analog_pol\[34\] +gpio_dm0\[34\] +gpio_analog_en\[34\] +gpio_noesd_io\[34\] +gpio_dm1\[34\] +analog_io\[34\] +gpio_slow_sel\[34\] +gpio_in\[34\] +gpio_loopback_zero\[33\] +gpio_loopback_one\[33\] +gpio_in_h\[33\] +gpio_oeb\[33\] +gpio_ib_mode_sel\[33\] +gpio_vtrip_sel\[33\] +gpio_out\[33\] +gpio_holdover\[33\] +gpio_dm2\[33\] +gpio_anlaog_sel\[33\] +gpio_ieb\[33\] +gpio_analog_pol\[33\] +gpio_dm0\[33\] +gpio_analog_en\[33\] +gpio_noesd_io\[33\] +gpio_dm1\[33\] +analog_io\[33\] +gpio_slow_sel\[33\] +gpio_in\[33\] +gpio_loopback_zero\[32\] +gpio_loopback_one\[32\] +gpio_in_h\[32\] +gpio_oeb\[32\] +gpio_ib_mode_sel\[32\] +gpio_vtrip_sel\[32\] +gpio_out\[32\] +gpio_holdover\[32\] +gpio_dm2\[32\] +gpio_anlaog_sel\[32\] +gpio_ieb\[32\] +gpio_analog_pol\[32\] +gpio_dm0\[32\] +gpio_analog_en\[32\] +gpio_noesd_io\[32\] +gpio_dm1\[32\] +analog_io\[32\] +gpio_slow_sel\[32\] +gpio_in\[32\] +gpio_loopback_zero\[31\] +gpio_loopback_one\[31\] +gpio_in_h\[31\] +gpio_oeb\[31\] +gpio_ib_mode_sel\[31\] +gpio_vtrip_sel\[31\] +gpio_out\[31\] +gpio_holdover\[31\] +gpio_dm2\[31\] +gpio_anlaog_sel\[31\] +gpio_ieb\[31\] +gpio_analog_pol\[31\] +gpio_dm0\[31\] +gpio_analog_en\[31\] +gpio_noesd_io\[31\] +gpio_dm1\[31\] +analog_io\[31\] +gpio_slow_sel\[31\] +gpio_in\[31\] +gpio_loopback_zero\[30\] +gpio_loopback_one\[30\] +gpio_in_h\[30\] +gpio_oeb\[30\] +gpio_ib_mode_sel\[30\] +gpio_vtrip_sel\[30\] +gpio_out\[30\] +gpio_holdover\[30\] +gpio_dm2\[30\] +gpio_anlaog_sel\[30\] +gpio_ieb\[30\] +gpio_analog_pol\[30\] +gpio_dm0\[30\] +gpio_analog_en\[30\] +gpio_noesd_io\[30\] +gpio_dm1\[30\] +analog_io\[30\] +gpio_slow_sel\[30\] +gpio_in\[30\] +gpio_loopback_zero\[29\] +gpio_loopback_one\[29\] +gpio_in_h\[29\] +gpio_oeb\[29\] +gpio_ib_mode_sel\[29\] +gpio_vtrip_sel\[29\] +gpio_out\[29\] +gpio_holdover\[29\] +gpio_dm2\[29\] +gpio_anlaog_sel\[29\] +gpio_ieb\[29\] +gpio_analog_pol\[29\] +gpio_dm0\[29\] +gpio_analog_en\[29\] +gpio_noesd_io\[29\] +gpio_dm1\[29\] +analog_io\[29\] +gpio_slow_sel\[29\] +gpio_in\[29\] +gpio_loopback_zero\[28\] +gpio_loopback_one\[28\] +gpio_in_h\[28\] +gpio_oeb\[28\] +gpio_ib_mode_sel\[28\] +gpio_vtrip_sel\[28\] +gpio_out\[28\] +gpio_holdover\[28\] +gpio_dm2\[28\] +gpio_anlaog_sel\[28\] +gpio_ieb\[28\] +gpio_analog_pol\[28\] +gpio_dm0\[28\] +gpio_analog_en\[28\] +gpio_noesd_io\[28\] +gpio_dm1\[28\] +analog_io\[28\] +gpio_slow_sel\[28\] +gpio_in\[28\] +gpio_loopback_zero\[27\] +gpio_loopback_one\[27\] +gpio_in_h\[27\] +gpio_oeb\[27\] +gpio_ib_mode_sel\[27\] +gpio_vtrip_sel\[27\] +gpio_out\[27\] +gpio_holdover\[27\] +gpio_dm2\[27\] +gpio_anlaog_sel\[27\] +gpio_ieb\[27\] +gpio_analog_pol\[27\] +gpio_dm0\[27\] +gpio_analog_en\[27\] +gpio_noesd_io\[27\] +gpio_dm1\[27\] +analog_io\[27\] +gpio_slow_sel\[27\] +gpio_in\[27\] +gpio_loopback_zero\[26\] +gpio_loopback_one\[26\] +gpio_in_h\[26\] +gpio_oeb\[26\] +gpio_ib_mode_sel\[26\] +gpio_vtrip_sel\[26\] +gpio_out\[26\] +gpio_holdover\[26\] +gpio_dm2\[26\] +gpio_anlaog_sel\[26\] +gpio_ieb\[26\] +gpio_analog_pol\[26\] +gpio_dm0\[26\] +gpio_analog_en\[26\] +gpio_noesd_io\[26\] +gpio_dm1\[26\] +analog_io\[26\] +gpio_slow_sel\[26\] +gpio_in\[26\] +gpio_loopback_zero\[25\] +gpio_loopback_one\[25\] +gpio_in_h\[25\] +gpio_oeb\[25\] +gpio_ib_mode_sel\[25\] +gpio_vtrip_sel\[25\] +gpio_out\[25\] +gpio_holdover\[25\] +gpio_dm2\[25\] +gpio_anlaog_sel\[25\] +gpio_ieb\[25\] +gpio_analog_pol\[25\] +gpio_dm0\[25\] +gpio_analog_en\[25\] +gpio_noesd_io\[25\] +gpio_dm1\[25\] +analog_io\[25\] +gpio_slow_sel\[25\] +gpio_in\[25\] +gpio_loopback_zero\[24\] +gpio_loopback_one\[24\] +gpio_in_h\[24\] +gpio_oeb\[24\] +gpio_ib_mode_sel\[24\] +gpio_vtrip_sel\[24\] +gpio_out\[24\] +gpio_holdover\[24\] +gpio_dm2\[24\] +gpio_anlaog_sel\[24\] +gpio_ieb\[24\] +gpio_analog_pol\[24\] +gpio_dm0\[24\] +gpio_analog_en\[24\] +gpio_noesd_io\[24\] +gpio_dm1\[24\] +analog_io\[24\] +gpio_slow_sel\[24\] +gpio_in\[24\] diff --git a/openlane/picosoc/pins.py b/openlane/picosoc/pins.py new file mode 100644 index 0000000..9da38cd --- /dev/null +++ b/openlane/picosoc/pins.py @@ -0,0 +1,64 @@ +cycle = [ + "gpio_loopback_zero", + "gpio_loopback_one", + "gpio_in_h", + "gpio_oeb", + "gpio_ib_mode_sel", + "gpio_vtrip_sel", + "gpio_out", + "gpio_holdover", + "gpio_dm2", + "gpio_anlaog_sel", + "gpio_ieb", + "gpio_analog_pol", + "gpio_dm0", + "gpio_analog_en", + "gpio_noesd_io", + "gpio_dm1", + "analog_io", + "gpio_slow_sel", + "gpio_in", +] + +pico_pins = """ + porb + por + resetb + mask_rev + gpio_in + gpio_out + gpio_oeb + gpio_ieb - missing ?? + gpio_ib_mode_sel + gpio_vtrip_sel + gpio_slow_sel + gpio_dm2 + gpio_dm1 + gpio_dm0 + gpio_loopback_one + gpio_loopback_zero +""" + +ranges = { + "N": (23, 15), + "E": (0, 14), + "S": (38, 43), + "W": (37, 24) + } + +def my_range(lower, upper): + if lower > upper: + value = list(range(upper, lower+1)) + value.reverse() + return value + else: + return list(range(lower, upper + 1)) +for side in ranges: + limits = ranges[side] + new_cycle = cycle.copy() + if side in ["E", "S"]: + new_cycle.reverse() + print(f"#{side}") + for i in my_range(limits[0], limits[1]): + for pin in new_cycle: + print(f"{pin}\\[{i}\\]") diff --git a/openlane/picosoc/signoff.sdc b/openlane/picosoc/signoff.sdc new file mode 100644 index 0000000..88ae814 --- /dev/null +++ b/openlane/picosoc/signoff.sdc @@ -0,0 +1,58 @@ +create_clock -name clk -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[38]}] +create_clock -name dll_clk -period 6.666 [get_pins {dll/clockp[1]}] +create_clock -name dll_clk90 -period 6.666 [get_pins {dll/clockp[0]}] +create_clock -name clk_hkspi_sck -period $::env(CLOCK_PERIOD) [get_ports {gpio_in[4]}] +create_generated_clock -name spi_master -source [get_ports {gpio_in[38]}] -divide_by 2 [get_pins -of_objects {simple_spi_master_inst.spi_master.hsck} -filter lib_pin_name==Q] + +set_clock_groups \ + -name clock_group \ + -logically_exclusive \ + -group [get_clocks {clk}]\ + -group [get_clocks {clk_hkspi_sck}]\ + -group [get_clocks {dll_clk}]\ + -group [get_clocks {dll_clk90}] + +set_clock_uncertainty 0.1 [all_clocks] +set_propagated_clock [all_clocks] + +## INPUT/OUTPUT DELAYS +set input_delay_value 4 +set output_delay_value 20 +puts "\[INFO\]: Setting output delay to: $output_delay_value" +puts "\[INFO\]: Setting input delay to: $input_delay_value" +# set_input_delay $input_delay_value -clock [get_clocks {clk}] -add_delay [all_inputs] +set_input_delay 0 -clock [get_clocks {clk}] [get_ports {gpio_in[38]}] +set_input_delay 0 -clock [get_clocks {clk_hkspi_sck}] [get_ports {gpio_in[4]}] + +# set_output_delay $output_delay_value -clock [get_clocks {clk}] -add_delay [all_outputs] + +## MAX FANOUT +set_max_fanout 20 [current_design] + +## FALSE PATHS (ASYNCHRONOUS INPUTS) +set_false_path -from [get_ports {resetb}] +set_false_path -from [get_ports {porb}] +set_false_path -from [get_ports {gpio_in[38]}] -to [get_pins {_34238_/D}] + +# add loads for output ports (pads) +set min_cap 0.04 +set max_cap 0.04 +puts "\[INFO\]: Cap load range: $min_cap : $max_cap" +# set_load 10 [all_outputs] +set_load -min $min_cap [all_outputs] +set_load -max $max_cap [all_outputs] + +set min_in_tran 1 +set max_in_tran 1.19 +puts "\[INFO\]: Input transition range: $min_in_tran : $max_in_tran" +set_input_transition -min $min_in_tran [all_inputs] +set_input_transition -max $max_in_tran [all_inputs] + +# derates +set derate 0.05 +puts "\[INFO\]: Setting derate factor to: [expr $derate * 100] %" +set_timing_derate -early [expr 1-$derate] +set_timing_derate -late [expr 1+$derate] + +## MAX transition/cap +set_max_trans 1.5 [current_design] diff --git a/openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v b/openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v new file mode 100644 index 0000000..b913941 --- /dev/null +++ b/openlane/picosoc/sky130_sram_2kbyte_1rw1r_32x512_8.v @@ -0,0 +1,43 @@ +// OpenRAM SRAM model +// Words: 512 +// Word size: 32 +// Write size: 8 +/// sta-blackbox + +module sky130_sram_2kbyte_1rw1r_32x512_8( +`ifdef USE_POWER_PINS + vccd1, + vssd1, +`endif +// Port 0: RW + clk0,csb0,web0,wmask0,addr0,din0,dout0, +// Port 1: R + clk1,csb1,addr1,dout1 + ); + + parameter NUM_WMASKS = 4 ; + parameter DATA_WIDTH = 32 ; + parameter ADDR_WIDTH = 9 ; + parameter RAM_DEPTH = 1 << ADDR_WIDTH; + // FIXME: This delay is arbitrary. + parameter DELAY = 3 ; + parameter VERBOSE = 1 ; //Set to 0 to only display warnings + parameter T_HOLD = 1 ; //Delay to hold dout value after posedge. Value is arbitrary + +`ifdef USE_POWER_PINS + inout vccd1; + inout vssd1; +`endif + input clk0; // clock + input csb0; // active low chip select + input web0; // active low write control + input [NUM_WMASKS-1:0] wmask0; // write mask + input [ADDR_WIDTH-1:0] addr0; + input [DATA_WIDTH-1:0] din0; + output [DATA_WIDTH-1:0] dout0; + input clk1; // clock + input csb1; // active low chip select + input [ADDR_WIDTH-1:0] addr1; + output [DATA_WIDTH-1:0] dout1; + +endmodule diff --git a/verilog/rtl/clock_routing.v b/verilog/rtl/clock_routing.v index 9caecb0..07c5983 100644 --- a/verilog/rtl/clock_routing.v +++ b/verilog/rtl/clock_routing.v @@ -16,7 +16,9 @@ `default_nettype none // This routine synchronizes the `ifndef COCOTB_SIM +`ifndef PnR `include "clock_div.v" +`endif // PnR `endif // COCOTB_SIM module clock_routing ( diff --git a/verilog/rtl/openframe_project_netlists.v b/verilog/rtl/openframe_project_netlists.v index e0ac790..21727b1 100644 --- a/verilog/rtl/openframe_project_netlists.v +++ b/verilog/rtl/openframe_project_netlists.v @@ -24,11 +24,14 @@ /* */ /*--------------------------------------------------------------*/ +`ifndef PnR `ifdef SIM `define USE_POWER_PINS `endif +`endif `define OPENFRAME_IO_PADS 44 +`ifndef PnR `ifdef GL `default_nettype wire // Use behavorial model with gate-level simulation @@ -38,3 +41,4 @@ `include "openframe_project_wrapper.v" `include "picosoc.v" `endif +`endif diff --git a/verilog/rtl/openframe_project_wrapper.v b/verilog/rtl/openframe_project_wrapper.v index 3315259..ae9b568 100644 --- a/verilog/rtl/openframe_project_wrapper.v +++ b/verilog/rtl/openframe_project_wrapper.v @@ -140,4 +140,7 @@ module openframe_project_wrapper ( assign gpio_analog_sel = gpio_loopback_zero; assign gpio_holdover = gpio_loopback_zero; + (* keep *) vccd1_connection vccd1_connection (); + (* keep *) vssd1_connection vssd1_connection (); + endmodule // openframe_project_wrapper diff --git a/verilog/rtl/vccd1_connection.v b/verilog/rtl/vccd1_connection.v new file mode 100644 index 0000000..b237744 --- /dev/null +++ b/verilog/rtl/vccd1_connection.v @@ -0,0 +1,23 @@ +/* + * SPDX-FileCopyrightText: 2015 Clifford Wolf + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + * SPDX-License-Identifier: ISC + */ + +module vccd1_connection (); +endmodule \ No newline at end of file diff --git a/verilog/rtl/vssd1_connection.v b/verilog/rtl/vssd1_connection.v new file mode 100644 index 0000000..5c65cb5 --- /dev/null +++ b/verilog/rtl/vssd1_connection.v @@ -0,0 +1,23 @@ +/* + * SPDX-FileCopyrightText: 2015 Clifford Wolf + * PicoSoC - A simple example SoC using PicoRV32 + * + * Copyright (C) 2017 Clifford Wolf + * + * Permission to use, copy, modify, and/or distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + * SPDX-License-Identifier: ISC + */ + +module vssd1_connection (); +endmodule \ No newline at end of file