From 50df180498c26af6c4635e7bd76d764fd461ba9b Mon Sep 17 00:00:00 2001 From: Lars Asplund Date: Thu, 2 Jan 2025 19:59:02 +0100 Subject: [PATCH] Updated license to 2025. --- docs/blog/src/vhdl_configuration/dff.vhd | 2 +- docs/blog/src/vhdl_configuration/run.py | 2 +- .../src/vhdl_configuration/tb_selecting_dut_with_generics.vhd | 2 +- .../tb_selecting_dut_with_vhdl_configuration.vhd | 2 +- .../tb_selecting_test_runner_with_vhdl_configuration.vhd | 2 +- docs/blog/src/vhdl_configuration/test_reset.vhd | 2 +- docs/blog/src/vhdl_configuration/test_runner.vhd | 2 +- docs/blog/src/vhdl_configuration/test_state_change.vhd | 2 +- docs/blog/src/vunit_phases/phases_pkg.vhd | 2 +- docs/blog/src/vunit_phases/run.py | 2 +- docs/blog/src/vunit_phases/tb_phase_lock.vhd | 2 +- docs/blog/src/vunit_phases/tb_phases.vhd | 2 +- docs/blog/src/vunit_phases/tb_phases_minimal.vhd | 2 +- docs/data_types/src/vunit_events/event_pkg.vhd | 2 +- docs/data_types/src/vunit_events/incrementer.vhd | 2 +- docs/data_types/src/vunit_events/incrementer_pkg.vhd | 2 +- docs/data_types/src/vunit_events/run.py | 2 +- docs/data_types/src/vunit_events/tb_event.vhd | 2 +- docs/data_types/src/vunit_events/tb_traditional.vhd | 2 +- docs/id/src/dut.vhd | 2 +- docs/id/src/run.py | 2 +- docs/id/src/tb_dut.vhd | 2 +- docs/id/src/tb_dut_local_declarations.vhd | 2 +- docs/id/src/tb_id.vhd | 2 +- docs/id/src/verification_component_x.vhd | 2 +- docs/id/src/verification_component_x_with_logger.vhd | 2 +- docs/id/src/verification_component_y.vhd | 2 +- docs/logging/src/run.py | 2 +- docs/logging/src/tb_logging.vhd | 2 +- docs/run/src/run.py | 2 +- docs/run/src/tb_fail_on_warning.vhd | 2 +- docs/run/src/tb_magic_paths.vhd | 2 +- docs/run/src/tb_minimal.vhd | 2 +- docs/run/src/tb_run_all_in_same_sim.vhd | 2 +- docs/run/src/tb_running_test_case.vhd | 2 +- docs/run/src/tb_standalone.vhd | 2 +- docs/run/src/tb_stop_level.vhd | 2 +- docs/run/src/tb_stopping_failure.vhd | 2 +- docs/run/src/tb_with_lower_level_control.vhd | 2 +- docs/run/src/tb_with_test_cases.vhd | 2 +- docs/run/src/tb_with_watchdog.vhd | 2 +- docs/run/src/test_control.vhd | 2 +- examples/verilog/uart/run.py | 2 +- examples/verilog/uart/src/test/tb_uart_rx.sv | 2 +- examples/verilog/uart/src/test/tb_uart_tx.sv | 2 +- examples/verilog/uart/src/uart_rx.sv | 2 +- examples/verilog/uart/src/uart_tx.sv | 2 +- examples/verilog/user_guide/run.py | 2 +- examples/verilog/user_guide/tb_example.sv | 2 +- examples/verilog/user_guide/tb_example_basic.sv | 2 +- examples/verilog/verilog_ams/run.py | 2 +- examples/verilog/verilog_ams/tb_dut.sv | 2 +- examples/vhdl/array/run.py | 2 +- examples/vhdl/array/src/sobel_x.vhd | 2 +- examples/vhdl/array/src/test/tb_sobel_x.vhd | 2 +- examples/vhdl/array_axis_vcs/run.py | 2 +- examples/vhdl/array_axis_vcs/src/axis_buffer.vhd | 2 +- examples/vhdl/array_axis_vcs/src/fifo.vhd | 2 +- examples/vhdl/array_axis_vcs/src/test/tb_axis_loop.vhd | 2 +- examples/vhdl/array_axis_vcs/src/test/vc_axis.vhd | 2 +- examples/vhdl/axi_dma/run.py | 2 +- examples/vhdl/axi_dma/src/axi_burst_gen.vhd | 2 +- examples/vhdl/axi_dma/src/axi_dma.vhd | 2 +- examples/vhdl/axi_dma/src/axi_dma_regs.vhd | 2 +- examples/vhdl/axi_dma/src/axi_dma_regs_pkg.vhd | 2 +- examples/vhdl/axi_dma/src/axi_pkg.vhd | 2 +- examples/vhdl/axi_dma/src/axil_pkg.vhd | 2 +- examples/vhdl/axi_dma/src/test/tb_axi_dma.vhd | 2 +- examples/vhdl/axi_dma/src/test/tb_axi_dma_regs.vhd | 2 +- examples/vhdl/axi_dma/src/test/tb_util_pkg.vhd | 2 +- examples/vhdl/axi_dma/src/util_pkg.vhd | 2 +- examples/vhdl/check/run.py | 2 +- examples/vhdl/check/tb_example.vhd | 2 +- examples/vhdl/com/run.py | 2 +- examples/vhdl/com/src/adder.vhd | 2 +- examples/vhdl/com/test/memory_bfm.vhd | 2 +- examples/vhdl/com/test/memory_bfm_pkg.vhd | 2 +- examples/vhdl/com/test/tb_user_guide.vhd | 2 +- examples/vhdl/composite_generics/run.py | 2 +- .../vhdl/composite_generics/test/tb_composite_generics.vhd | 2 +- examples/vhdl/coverage/run.py | 2 +- examples/vhdl/coverage/tb_coverage.vhd | 2 +- examples/vhdl/data_types/tb_dict_transactions.vhd | 2 +- examples/vhdl/generate_tests/run.py | 2 +- examples/vhdl/generate_tests/test/tb_generated.vhd | 2 +- examples/vhdl/json4vhdl/run.py | 2 +- examples/vhdl/json4vhdl/src/test/tb_json_gens.vhd | 2 +- examples/vhdl/logging/run.py | 2 +- examples/vhdl/logging/tb_logging_example.vhd | 2 +- .../osvvm_integration/osvvm_to_vunit_common_log_pkg-body.vhd | 2 +- .../osvvm_integration/osvvm_to_vunit_common_log_pkg.vhd | 2 +- .../osvvm_integration/vunit_to_osvvm_common_log_pkg-body.vhd | 2 +- examples/vhdl/osvvm_log_integration/run.py | 2 +- examples/vhdl/osvvm_log_integration/tb_example.vhd | 2 +- examples/vhdl/run/run.py | 2 +- examples/vhdl/run/tb_counting_errors.vhd | 2 +- examples/vhdl/run/tb_magic_paths.vhd | 2 +- examples/vhdl/run/tb_many_ways_to_fail.vhd | 2 +- examples/vhdl/run/tb_minimal.vhd | 2 +- examples/vhdl/run/tb_running_test_case.vhd | 2 +- examples/vhdl/run/tb_standalone.vhd | 2 +- examples/vhdl/run/tb_with_lower_level_control.vhd | 2 +- examples/vhdl/run/tb_with_test_cases.vhd | 2 +- examples/vhdl/run/tb_with_watchdog.vhd | 2 +- examples/vhdl/run/test_control.vhd | 2 +- examples/vhdl/third_party_integration/run.py | 2 +- .../test/tb_external_framework_integration.vhd | 2 +- examples/vhdl/uart/run.py | 2 +- examples/vhdl/uart/src/test/tb_uart_rx.vhd | 2 +- examples/vhdl/uart/src/test/tb_uart_tx.vhd | 2 +- examples/vhdl/uart/src/uart_rx.vhd | 2 +- examples/vhdl/uart/src/uart_tx.vhd | 2 +- examples/vhdl/user_guide/run.py | 2 +- examples/vhdl/user_guide/tb_example.vhd | 2 +- examples/vhdl/user_guide/tb_example_many.vhd | 2 +- examples/vhdl/user_guide/vhdl1993/run.py | 2 +- examples/vhdl/user_guide/vhdl1993/tb_example.vhd | 2 +- examples/vhdl/user_guide/vhdl1993/tb_example_many.vhd | 2 +- examples/vhdl/vhdl_configuration/dff.vhd | 2 +- examples/vhdl/vhdl_configuration/run.py | 2 +- .../tb_selecting_dut_with_vhdl_configuration.vhd | 2 +- .../tb_selecting_test_runner_with_vhdl_configuration.vhd | 2 +- examples/vhdl/vhdl_configuration/test_reset.vhd | 2 +- examples/vhdl/vhdl_configuration/test_runner.vhd | 2 +- examples/vhdl/vhdl_configuration/test_state_change.vhd | 2 +- examples/vhdl/vivado/generate_vivado_project.py | 2 +- examples/vhdl/vivado/run.py | 2 +- examples/vhdl/vivado/src/test/tb_top.vhd | 2 +- examples/vhdl/vivado/src/top.vhd | 2 +- examples/vhdl/vivado/vivado_util.py | 2 +- setup.py | 2 +- tests/__init__.py | 2 +- tests/acceptance/__init__.py | 2 +- tests/acceptance/artificial/verilog/other_file_tests.sv | 2 +- tests/acceptance/artificial/verilog/run.py | 2 +- .../artificial/verilog/tb_fail_on_fatal_and_early_finish.sv | 2 +- tests/acceptance/artificial/verilog/tb_fail_on_warning.sv | 2 +- .../artificial/verilog/tb_fail_on_warning_from_python.sv | 2 +- tests/acceptance/artificial/verilog/tb_magic_paths.sv | 2 +- tests/acceptance/artificial/verilog/tb_other_file_tests.sv | 2 +- tests/acceptance/artificial/verilog/tb_same_sim_all_pass.sv | 2 +- .../artificial/verilog/tb_same_sim_from_python_all_pass.sv | 2 +- .../artificial/verilog/tb_same_sim_from_python_some_fail.sv | 2 +- tests/acceptance/artificial/verilog/tb_same_sim_some_fail.sv | 2 +- tests/acceptance/artificial/verilog/tb_with_define.sv | 2 +- .../acceptance/artificial/verilog/tb_with_parameter_config.sv | 2 +- tests/acceptance/artificial/verilog/tb_with_runner.sv | 2 +- tests/acceptance/artificial/vhdl/bool_driver.vhd | 2 +- tests/acceptance/artificial/vhdl/cfg1.vhd | 2 +- tests/acceptance/artificial/vhdl/cfg2.vhd | 2 +- tests/acceptance/artificial/vhdl/cfg3.vhd | 2 +- tests/acceptance/artificial/vhdl/ent.vhd | 2 +- tests/acceptance/artificial/vhdl/other_file_tests.vhd | 2 +- tests/acceptance/artificial/vhdl/run.py | 2 +- tests/acceptance/artificial/vhdl/tb_assert_stop_level.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_elab_fail.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_fail.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_fail_on_warning.vhd | 2 +- .../artificial/vhdl/tb_fail_on_warning_from_python.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_ieee_warning.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_infinite_events.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_magic_paths.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_no_fail_after_cleanup.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_no_fail_on_warning.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_no_generic_override.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_other_file_tests.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_pass.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_same_sim_all_pass.vhd | 2 +- .../artificial/vhdl/tb_same_sim_from_python_all_pass.vhd | 2 +- .../artificial/vhdl/tb_same_sim_from_python_some_fail.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_same_sim_some_fail.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_set_generic.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_with_checks.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_with_generic_config.vhd | 2 +- .../acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_with_vhdl_runner.vhd | 2 +- tests/acceptance/dependencies/pkg.vhd | 2 +- tests/acceptance/dependencies/pkg_body1.vhd | 2 +- tests/acceptance/dependencies/pkg_body2.vhd | 2 +- tests/acceptance/dependencies/tb_pkg.vhd | 2 +- tests/acceptance/test_artificial.py | 2 +- tests/acceptance/test_dependencies.py | 2 +- tests/acceptance/test_external_run_scripts.py | 2 +- tests/common.py | 2 +- tests/lint/__init__.py | 2 +- tests/lint/test_license.py | 4 ++-- tests/lint/test_mypy.py | 2 +- tests/lint/test_pycodestyle.py | 2 +- tests/lint/test_pylint.py | 2 +- tests/unit/__init__.py | 2 +- tests/unit/non_utf8_printer.py | 2 +- tests/unit/test_activehdl_interface.py | 2 +- tests/unit/test_builtins.py | 2 +- tests/unit/test_cds_file.py | 2 +- tests/unit/test_check_preprocessor.py | 2 +- tests/unit/test_configuration.py | 2 +- tests/unit/test_csv_logs.py | 2 +- tests/unit/test_database.py | 2 +- tests/unit/test_dependency_graph.py | 2 +- tests/unit/test_ghdl_interface.py | 2 +- tests/unit/test_incisive_interface.py | 2 +- tests/unit/test_location_preprocessor.py | 2 +- tests/unit/test_modelsim_interface.py | 2 +- tests/unit/test_ostools.py | 2 +- tests/unit/test_project.py | 2 +- tests/unit/test_rivierapro_interface.py | 2 +- tests/unit/test_simulator_interface.py | 2 +- tests/unit/test_test_bench.py | 2 +- tests/unit/test_test_bench_list.py | 2 +- tests/unit/test_test_report.py | 2 +- tests/unit/test_test_runner.py | 2 +- tests/unit/test_test_suites.py | 2 +- tests/unit/test_tokenizer.py | 2 +- tests/unit/test_ui.py | 2 +- tests/unit/test_verilog_parser.py | 2 +- tests/unit/test_verilog_preprocessor.py | 2 +- tests/unit/test_verilog_tokenizer.py | 2 +- tests/unit/test_vhdl_parser.py | 2 +- tests/unit/test_vhdl_standard.py | 2 +- tools/build_docs.py | 2 +- tools/doc_support.py | 2 +- tools/incisive_vhdl_fixup.py | 2 +- tools/release.py | 2 +- vunit/__init__.py | 2 +- vunit/about.py | 2 +- vunit/builtins.py | 2 +- vunit/cached.py | 2 +- vunit/check_preprocessor.py | 2 +- vunit/color_printer.py | 2 +- vunit/com/__init__.py | 2 +- vunit/com/codec_datatype_template.py | 2 +- vunit/com/codec_generator.py | 2 +- vunit/com/codec_vhdl_array_type.py | 2 +- vunit/com/codec_vhdl_enumeration_type.py | 2 +- vunit/com/codec_vhdl_package.py | 2 +- vunit/com/codec_vhdl_record_type.py | 2 +- vunit/configuration.py | 2 +- vunit/csv_logs.py | 2 +- vunit/database.py | 2 +- vunit/dependency_graph.py | 2 +- vunit/design_unit.py | 2 +- vunit/exceptions.py | 2 +- vunit/hashing.py | 2 +- vunit/json4vhdl.py | 2 +- vunit/library.py | 2 +- vunit/location_preprocessor.py | 2 +- vunit/ostools.py | 2 +- vunit/parsing/__init__.py | 2 +- vunit/parsing/encodings.py | 2 +- vunit/parsing/tokenizer.py | 2 +- vunit/parsing/verilog/__init__.py | 2 +- vunit/parsing/verilog/parser.py | 2 +- vunit/parsing/verilog/preprocess.py | 2 +- vunit/parsing/verilog/tokenizer.py | 2 +- vunit/parsing/verilog/tokens.py | 2 +- vunit/persistent_tcl_shell.py | 2 +- vunit/project.py | 2 +- vunit/sim_if/__init__.py | 2 +- vunit/sim_if/_viewermixin.py | 2 +- vunit/sim_if/activehdl.py | 2 +- vunit/sim_if/cds_file.py | 2 +- vunit/sim_if/common.py | 2 +- vunit/sim_if/factory.py | 2 +- vunit/sim_if/ghdl.py | 2 +- vunit/sim_if/incisive.py | 2 +- vunit/sim_if/modelsim.py | 2 +- vunit/sim_if/nvc.py | 2 +- vunit/sim_if/rivierapro.py | 2 +- vunit/sim_if/vsim_simulator_mixin.py | 2 +- vunit/source_file.py | 2 +- vunit/test/__init__.py | 2 +- vunit/test/bench.py | 2 +- vunit/test/bench_list.py | 2 +- vunit/test/list.py | 2 +- vunit/test/report.py | 2 +- vunit/test/runner.py | 2 +- vunit/test/suites.py | 2 +- vunit/ui/__init__.py | 2 +- vunit/ui/common.py | 2 +- vunit/ui/library.py | 2 +- vunit/ui/packagefacade.py | 2 +- vunit/ui/preprocessor.py | 2 +- vunit/ui/results.py | 2 +- vunit/ui/source.py | 2 +- vunit/ui/test.py | 2 +- vunit/ui/testbench.py | 2 +- vunit/verilog/check/run.py | 2 +- vunit/verilog/check/test/check_tb.sv | 2 +- vunit/verilog/vunit_pkg.sv | 2 +- vunit/version_check.py | 2 +- vunit/vhdl/check/run.py | 2 +- vunit/vhdl/check/src/check-2008p.vhd | 2 +- vunit/vhdl/check/src/check.vhd | 2 +- vunit/vhdl/check/src/check_api-2008p.vhd | 2 +- vunit/vhdl/check/src/check_api.vhd | 2 +- vunit/vhdl/check/src/checker_pkg-body.vhd | 2 +- vunit/vhdl/check/src/checker_pkg.vhd | 2 +- vunit/vhdl/check/test/tb_check.vhd | 2 +- vunit/vhdl/check/test/tb_check_equal-2008p.vhd | 2 +- vunit/vhdl/check/test/tb_check_equal_real.vhd | 2 +- vunit/vhdl/check/test/tb_check_failed.vhd | 2 +- vunit/vhdl/check/test/tb_check_false.vhd | 2 +- vunit/vhdl/check/test/tb_check_implication.vhd | 2 +- vunit/vhdl/check/test/tb_check_next.vhd | 2 +- vunit/vhdl/check/test/tb_check_not_unknown.vhd | 2 +- vunit/vhdl/check/test/tb_check_one_hot.vhd | 2 +- vunit/vhdl/check/test/tb_check_passed.vhd | 2 +- vunit/vhdl/check/test/tb_check_relation.vhd | 2 +- vunit/vhdl/check/test/tb_check_relation_2008p.vhd | 2 +- vunit/vhdl/check/test/tb_check_sequence.vhd | 2 +- vunit/vhdl/check/test/tb_check_stable.vhd | 2 +- vunit/vhdl/check/test/tb_check_zero_one_hot.vhd | 2 +- vunit/vhdl/check/test/tb_checker.vhd | 2 +- vunit/vhdl/check/test/tb_result.vhd | 2 +- vunit/vhdl/check/test/test_support.vhd | 2 +- vunit/vhdl/check/tools/generate_check_equal.py | 4 ++-- vunit/vhdl/check/tools/generate_check_equal_2008p.py | 4 ++-- vunit/vhdl/check/tools/generate_check_match.py | 4 ++-- vunit/vhdl/com/run.py | 2 +- vunit/vhdl/com/src/com.vhd | 2 +- vunit/vhdl/com/src/com_api.vhd | 2 +- vunit/vhdl/com/src/com_common.vhd | 2 +- vunit/vhdl/com/src/com_context.vhd | 2 +- vunit/vhdl/com/src/com_debug_codec_builder.vhd | 2 +- vunit/vhdl/com/src/com_deprecated.vhd | 2 +- vunit/vhdl/com/src/com_messenger.vhd | 2 +- vunit/vhdl/com/src/com_string.vhd | 2 +- vunit/vhdl/com/src/com_support.vhd | 2 +- vunit/vhdl/com/src/com_types.vhd | 2 +- vunit/vhdl/com/test/constants.vhd | 2 +- vunit/vhdl/com/test/custom_types.vhd | 2 +- vunit/vhdl/com/test/more_constants.vhd | 2 +- vunit/vhdl/com/test/tb_com.vhd | 2 +- vunit/vhdl/com/test/tb_com_codec.vhd | 2 +- vunit/vhdl/com/test/tb_com_deprecated.vhd | 2 +- vunit/vhdl/com/test/tb_com_msg_building.vhd | 2 +- vunit/vhdl/compile_vunit_lib.py | 2 +- vunit/vhdl/core/src/core_pkg.vhd | 2 +- vunit/vhdl/core/src/stop_body_2008p.vhd | 2 +- vunit/vhdl/core/src/stop_body_93-2002.vhd | 2 +- vunit/vhdl/core/src/stop_pkg.vhd | 2 +- vunit/vhdl/data_types/run.py | 2 +- vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd | 2 +- vunit/vhdl/data_types/src/api/external_string_pkg.vhd | 2 +- vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd | 2 +- vunit/vhdl/data_types/src/codec-2008p.vhd | 2 +- vunit/vhdl/data_types/src/codec.vhd | 2 +- vunit/vhdl/data_types/src/codec_builder-2008p.vhd | 2 +- vunit/vhdl/data_types/src/codec_builder.vhd | 2 +- vunit/vhdl/data_types/src/data_types_context.vhd | 2 +- vunit/vhdl/data_types/src/data_types_private_pkg.vhd | 2 +- vunit/vhdl/data_types/src/dict_pkg-2008p.vhd | 2 +- vunit/vhdl/data_types/src/dict_pkg-body.vhd | 2 +- vunit/vhdl/data_types/src/dict_pkg.vhd | 2 +- vunit/vhdl/data_types/src/event_common_pkg.vhd | 2 +- vunit/vhdl/data_types/src/event_pkg.vhd | 2 +- vunit/vhdl/data_types/src/event_private_pkg.vhd | 2 +- vunit/vhdl/data_types/src/id_pkg.vhd | 2 +- vunit/vhdl/data_types/src/integer_array_pkg-body.vhd | 2 +- vunit/vhdl/data_types/src/integer_array_pkg.vhd | 2 +- .../vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd | 2 +- vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd | 2 +- vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd | 2 +- vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd | 2 +- vunit/vhdl/data_types/src/queue_pkg-2008p.vhd | 2 +- vunit/vhdl/data_types/src/queue_pkg-body.vhd | 2 +- vunit/vhdl/data_types/src/queue_pkg.vhd | 2 +- vunit/vhdl/data_types/src/queue_pool_pkg.vhd | 2 +- vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd | 2 +- vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd | 2 +- vunit/vhdl/data_types/src/string_ptr_pkg.vhd | 2 +- vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd | 2 +- vunit/vhdl/data_types/src/types.vhd | 2 +- vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd | 2 +- vunit/vhdl/data_types/test/tb_codec-2008p.vhd | 2 +- vunit/vhdl/data_types/test/tb_codec.vhd | 2 +- vunit/vhdl/data_types/test/tb_dict-2008p.vhd | 2 +- vunit/vhdl/data_types/test/tb_dict.vhd | 2 +- vunit/vhdl/data_types/test/tb_event_pkg.vhd | 2 +- vunit/vhdl/data_types/test/tb_event_private_pkg.vhd | 2 +- vunit/vhdl/data_types/test/tb_id.vhd | 2 +- vunit/vhdl/data_types/test/tb_integer_array.vhd | 2 +- vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd | 2 +- vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd | 2 +- vunit/vhdl/data_types/test/tb_queue-2008p.vhd | 2 +- vunit/vhdl/data_types/test/tb_queue.vhd | 2 +- vunit/vhdl/data_types/test/tb_queue_pool.vhd | 2 +- vunit/vhdl/data_types/test/tb_string_ptr.vhd | 2 +- vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd | 2 +- vunit/vhdl/data_types/tools/generate_dict.py | 2 +- vunit/vhdl/dictionary/run.py | 2 +- vunit/vhdl/dictionary/src/dictionary.vhd | 2 +- vunit/vhdl/dictionary/test/tb_dictionary.vhd | 2 +- vunit/vhdl/logging/run.py | 2 +- vunit/vhdl/logging/src/ansi_pkg.vhd | 2 +- vunit/vhdl/logging/src/common_log_pkg-body.vhd | 2 +- vunit/vhdl/logging/src/common_log_pkg.vhd | 2 +- vunit/vhdl/logging/src/file_pkg.vhd | 2 +- vunit/vhdl/logging/src/location_pkg-body-2008m.vhd | 2 +- vunit/vhdl/logging/src/location_pkg-body-2019p.vhd | 2 +- vunit/vhdl/logging/src/location_pkg.vhd | 2 +- vunit/vhdl/logging/src/log_handler_pkg-body.vhd | 2 +- vunit/vhdl/logging/src/log_handler_pkg.vhd | 2 +- vunit/vhdl/logging/src/log_levels_pkg-body.vhd | 2 +- vunit/vhdl/logging/src/log_levels_pkg.vhd | 2 +- vunit/vhdl/logging/src/logger_pkg-body.vhd | 2 +- vunit/vhdl/logging/src/logger_pkg.vhd | 2 +- vunit/vhdl/logging/src/print_pkg-body.vhd | 2 +- vunit/vhdl/logging/src/print_pkg.vhd | 2 +- vunit/vhdl/logging/test/tb_location.vhd | 2 +- vunit/vhdl/logging/test/tb_log.vhd | 2 +- vunit/vhdl/logging/test/tb_log_levels.vhd | 2 +- vunit/vhdl/logging/test/tb_sim_time_formatting.vhd | 2 +- vunit/vhdl/logging/test/test_support_pkg.vhd | 2 +- vunit/vhdl/path/run.py | 2 +- vunit/vhdl/path/src/path.vhd | 2 +- vunit/vhdl/path/test/tb_path.vhd | 2 +- vunit/vhdl/random/run.py | 2 +- vunit/vhdl/random/src/random_pkg.vhd | 2 +- vunit/vhdl/random/test/tb_random_pkg.vhd | 2 +- vunit/vhdl/run/run.py | 2 +- vunit/vhdl/run/src/run.vhd | 2 +- vunit/vhdl/run/src/run_api.vhd | 2 +- vunit/vhdl/run/src/run_types.vhd | 2 +- vunit/vhdl/run/src/runner_pkg.vhd | 2 +- vunit/vhdl/run/test/run_tests.vhd | 2 +- vunit/vhdl/run/test/tb_run.vhd | 2 +- vunit/vhdl/run/test/tb_watchdog.vhd | 2 +- vunit/vhdl/string_ops/run.py | 2 +- vunit/vhdl/string_ops/src/string_ops.vhd | 2 +- vunit/vhdl/string_ops/test/tb_string_ops.vhd | 2 +- vunit/vhdl/verification_components/run.py | 2 +- vunit/vhdl/verification_components/src/avalon_master.vhd | 2 +- vunit/vhdl/verification_components/src/avalon_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/avalon_sink.vhd | 2 +- vunit/vhdl/verification_components/src/avalon_slave.vhd | 2 +- vunit/vhdl/verification_components/src/avalon_source.vhd | 2 +- vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/axi_lite_master.vhd | 2 +- .../vhdl/verification_components/src/axi_lite_master_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/axi_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/axi_read_slave.vhd | 2 +- vunit/vhdl/verification_components/src/axi_slave_pkg.vhd | 2 +- .../verification_components/src/axi_slave_private_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/axi_stream_master.vhd | 2 +- vunit/vhdl/verification_components/src/axi_stream_monitor.vhd | 2 +- vunit/vhdl/verification_components/src/axi_stream_pkg.vhd | 2 +- .../verification_components/src/axi_stream_private_pkg.vhd | 2 +- .../src/axi_stream_protocol_checker.vhd | 2 +- vunit/vhdl/verification_components/src/axi_stream_slave.vhd | 2 +- vunit/vhdl/verification_components/src/axi_write_slave.vhd | 2 +- vunit/vhdl/verification_components/src/bus2memory.vhd | 2 +- .../vhdl/verification_components/src/bus_master_pkg-body.vhd | 2 +- vunit/vhdl/verification_components/src/bus_master_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/memory_pkg-body.vhd | 2 +- vunit/vhdl/verification_components/src/memory_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/memory_utils_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/ram_master.vhd | 2 +- vunit/vhdl/verification_components/src/signal_checker_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/std_logic_checker.vhd | 2 +- .../verification_components/src/stream_master_pkg-body.vhd | 2 +- vunit/vhdl/verification_components/src/stream_master_pkg.vhd | 2 +- .../verification_components/src/stream_slave_pkg-body.vhd | 2 +- vunit/vhdl/verification_components/src/stream_slave_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/sync_pkg-body.vhd | 2 +- vunit/vhdl/verification_components/src/sync_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/uart_master.vhd | 2 +- vunit/vhdl/verification_components/src/uart_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/uart_slave.vhd | 2 +- vunit/vhdl/verification_components/src/vc_context.vhd | 2 +- vunit/vhdl/verification_components/src/vc_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/wishbone_master.vhd | 2 +- vunit/vhdl/verification_components/src/wishbone_pkg.vhd | 2 +- vunit/vhdl/verification_components/src/wishbone_slave.vhd | 2 +- vunit/vhdl/verification_components/test/tb_avalon.vhd | 2 +- vunit/vhdl/verification_components/test/tb_avalon_master.vhd | 2 +- vunit/vhdl/verification_components/test/tb_avalon_slave.vhd | 2 +- vunit/vhdl/verification_components/test/tb_avalon_stream.vhd | 2 +- .../verification_components/test/tb_avalon_stream_pkg.vhd | 2 +- .../vhdl/verification_components/test/tb_axi_lite_master.vhd | 2 +- vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd | 2 +- .../verification_components/test/tb_axi_slave_private_pkg.vhd | 2 +- .../verification_components/test/tb_axi_statistics_pkg.vhd | 2 +- vunit/vhdl/verification_components/test/tb_axi_stream.vhd | 2 +- .../test/tb_axi_stream_protocol_checker.vhd | 2 +- .../vhdl/verification_components/test/tb_axi_write_slave.vhd | 2 +- vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd | 2 +- vunit/vhdl/verification_components/test/tb_memory.vhd | 2 +- .../vhdl/verification_components/test/tb_memory_utils_pkg.vhd | 2 +- vunit/vhdl/verification_components/test/tb_ram_master.vhd | 2 +- .../verification_components/test/tb_std_logic_checker.vhd | 2 +- vunit/vhdl/verification_components/test/tb_sync_pkg.vhd | 2 +- vunit/vhdl/verification_components/test/tb_uart.vhd | 2 +- vunit/vhdl/verification_components/test/tb_vc_pkg.vhd | 2 +- .../vhdl/verification_components/test/tb_wishbone_master.vhd | 2 +- vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd | 2 +- vunit/vhdl/vunit_context.vhd | 2 +- vunit/vhdl/vunit_run_context.vhd | 2 +- vunit/vhdl_parser.py | 2 +- vunit/vhdl_standard.py | 2 +- vunit/vivado/__init__.py | 2 +- vunit/vivado/vivado.py | 2 +- vunit/vunit_cli.py | 2 +- 504 files changed, 508 insertions(+), 508 deletions(-) diff --git a/docs/blog/src/vhdl_configuration/dff.vhd b/docs/blog/src/vhdl_configuration/dff.vhd index 0933f7802..b724d6b0c 100644 --- a/docs/blog/src/vhdl_configuration/dff.vhd +++ b/docs/blog/src/vhdl_configuration/dff.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/docs/blog/src/vhdl_configuration/run.py b/docs/blog/src/vhdl_configuration/run.py index 3c69b5533..d9d233022 100644 --- a/docs/blog/src/vhdl_configuration/run.py +++ b/docs/blog/src/vhdl_configuration/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com import sys import itertools diff --git a/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_generics.vhd b/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_generics.vhd index 7d482c4a7..3b214ad5c 100644 --- a/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_generics.vhd +++ b/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_generics.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using a generic instead -- of VHDL configurations to select the DUT to run. Without VHDL configurations diff --git a/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd b/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd index d03832e03..1a04aae8c 100644 --- a/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd +++ b/docs/blog/src/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using VHDL configurations -- to select DUT architecture diff --git a/docs/blog/src/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd b/docs/blog/src/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd index 67a1cdd6b..66bab9796 100644 --- a/docs/blog/src/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd +++ b/docs/blog/src/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using separate architectures -- of a test runner entity to define different tests. This is a structure diff --git a/docs/blog/src/vhdl_configuration/test_reset.vhd b/docs/blog/src/vhdl_configuration/test_reset.vhd index 8b134de88..cc656142d 100644 --- a/docs/blog/src/vhdl_configuration/test_reset.vhd +++ b/docs/blog/src/vhdl_configuration/test_reset.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/blog/src/vhdl_configuration/test_runner.vhd b/docs/blog/src/vhdl_configuration/test_runner.vhd index bcb7d350b..3167992fd 100644 --- a/docs/blog/src/vhdl_configuration/test_runner.vhd +++ b/docs/blog/src/vhdl_configuration/test_runner.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/docs/blog/src/vhdl_configuration/test_state_change.vhd b/docs/blog/src/vhdl_configuration/test_state_change.vhd index 6eccf4eb9..45cc9d89d 100644 --- a/docs/blog/src/vhdl_configuration/test_state_change.vhd +++ b/docs/blog/src/vhdl_configuration/test_state_change.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/blog/src/vunit_phases/phases_pkg.vhd b/docs/blog/src/vunit_phases/phases_pkg.vhd index 509d77346..9805fae67 100644 --- a/docs/blog/src/vunit_phases/phases_pkg.vhd +++ b/docs/blog/src/vunit_phases/phases_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/blog/src/vunit_phases/run.py b/docs/blog/src/vunit_phases/run.py index 59c96e0c9..56804f1e9 100644 --- a/docs/blog/src/vunit_phases/run.py +++ b/docs/blog/src/vunit_phases/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from csv import writer from pathlib import Path diff --git a/docs/blog/src/vunit_phases/tb_phase_lock.vhd b/docs/blog/src/vunit_phases/tb_phase_lock.vhd index ff0904764..11e2d8dee 100644 --- a/docs/blog/src/vunit_phases/tb_phase_lock.vhd +++ b/docs/blog/src/vunit_phases/tb_phase_lock.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/blog/src/vunit_phases/tb_phases.vhd b/docs/blog/src/vunit_phases/tb_phases.vhd index 885089bfe..ee6e58e09 100644 --- a/docs/blog/src/vunit_phases/tb_phases.vhd +++ b/docs/blog/src/vunit_phases/tb_phases.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/blog/src/vunit_phases/tb_phases_minimal.vhd b/docs/blog/src/vunit_phases/tb_phases_minimal.vhd index cc2520ae5..52c7320e8 100644 --- a/docs/blog/src/vunit_phases/tb_phases_minimal.vhd +++ b/docs/blog/src/vunit_phases/tb_phases_minimal.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/data_types/src/vunit_events/event_pkg.vhd b/docs/data_types/src/vunit_events/event_pkg.vhd index 877c5e58e..a5fcf357f 100644 --- a/docs/data_types/src/vunit_events/event_pkg.vhd +++ b/docs/data_types/src/vunit_events/event_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/data_types/src/vunit_events/incrementer.vhd b/docs/data_types/src/vunit_events/incrementer.vhd index cc1c64077..4c5bf4e6c 100644 --- a/docs/data_types/src/vunit_events/incrementer.vhd +++ b/docs/data_types/src/vunit_events/incrementer.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/docs/data_types/src/vunit_events/incrementer_pkg.vhd b/docs/data_types/src/vunit_events/incrementer_pkg.vhd index d13b2b037..eaa9c934c 100644 --- a/docs/data_types/src/vunit_events/incrementer_pkg.vhd +++ b/docs/data_types/src/vunit_events/incrementer_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package incrementer_pkg is constant increment_reg_addr : natural := 0; diff --git a/docs/data_types/src/vunit_events/run.py b/docs/data_types/src/vunit_events/run.py index ea1b562c6..f94493d51 100644 --- a/docs/data_types/src/vunit_events/run.py +++ b/docs/data_types/src/vunit_events/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from csv import writer from pathlib import Path diff --git a/docs/data_types/src/vunit_events/tb_event.vhd b/docs/data_types/src/vunit_events/tb_event.vhd index 8eafecb2e..1051ec996 100644 --- a/docs/data_types/src/vunit_events/tb_event.vhd +++ b/docs/data_types/src/vunit_events/tb_event.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/data_types/src/vunit_events/tb_traditional.vhd b/docs/data_types/src/vunit_events/tb_traditional.vhd index d78ed1fb0..4021cc995 100644 --- a/docs/data_types/src/vunit_events/tb_traditional.vhd +++ b/docs/data_types/src/vunit_events/tb_traditional.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/id/src/dut.vhd b/docs/id/src/dut.vhd index 78ec559ee..a35e65d8b 100644 --- a/docs/id/src/dut.vhd +++ b/docs/id/src/dut.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com entity dut is port( diff --git a/docs/id/src/run.py b/docs/id/src/run.py index bc07dc640..6ac8786ce 100644 --- a/docs/id/src/run.py +++ b/docs/id/src/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ WARNING: diff --git a/docs/id/src/tb_dut.vhd b/docs/id/src/tb_dut.vhd index 477567ce1..ea6ca5f9a 100644 --- a/docs/id/src/tb_dut.vhd +++ b/docs/id/src/tb_dut.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/id/src/tb_dut_local_declarations.vhd b/docs/id/src/tb_dut_local_declarations.vhd index dca01afd7..956cb7bd2 100644 --- a/docs/id/src/tb_dut_local_declarations.vhd +++ b/docs/id/src/tb_dut_local_declarations.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/id/src/tb_id.vhd b/docs/id/src/tb_id.vhd index ab8e66972..b72a7d93b 100644 --- a/docs/id/src/tb_id.vhd +++ b/docs/id/src/tb_id.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/id/src/verification_component_x.vhd b/docs/id/src/verification_component_x.vhd index 824f49f0c..561d309b7 100644 --- a/docs/id/src/verification_component_x.vhd +++ b/docs/id/src/verification_component_x.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/id/src/verification_component_x_with_logger.vhd b/docs/id/src/verification_component_x_with_logger.vhd index a73f6cf4f..b9d1d4060 100644 --- a/docs/id/src/verification_component_x_with_logger.vhd +++ b/docs/id/src/verification_component_x_with_logger.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/id/src/verification_component_y.vhd b/docs/id/src/verification_component_y.vhd index c08d8eacf..508fa9786 100644 --- a/docs/id/src/verification_component_y.vhd +++ b/docs/id/src/verification_component_y.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/logging/src/run.py b/docs/logging/src/run.py index 9ffb0a911..19c3f2fe4 100644 --- a/docs/logging/src/run.py +++ b/docs/logging/src/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ WARNING: diff --git a/docs/logging/src/tb_logging.vhd b/docs/logging/src/tb_logging.vhd index d843932e9..fc7262c0e 100644 --- a/docs/logging/src/tb_logging.vhd +++ b/docs/logging/src/tb_logging.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/run.py b/docs/run/src/run.py index f048e5736..a44edc9d5 100644 --- a/docs/run/src/run.py +++ b/docs/run/src/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit, VUnitCLI diff --git a/docs/run/src/tb_fail_on_warning.vhd b/docs/run/src/tb_fail_on_warning.vhd index 1e56fc822..e6d433236 100644 --- a/docs/run/src/tb_fail_on_warning.vhd +++ b/docs/run/src/tb_fail_on_warning.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/tb_magic_paths.vhd b/docs/run/src/tb_magic_paths.vhd index 15040804e..a9b698627 100644 --- a/docs/run/src/tb_magic_paths.vhd +++ b/docs/run/src/tb_magic_paths.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- start_snippet tb_magic_paths library vunit_lib; diff --git a/docs/run/src/tb_minimal.vhd b/docs/run/src/tb_minimal.vhd index abbfb8855..f42316e9b 100644 --- a/docs/run/src/tb_minimal.vhd +++ b/docs/run/src/tb_minimal.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- start_snippet tb_minimal library vunit_lib; diff --git a/docs/run/src/tb_run_all_in_same_sim.vhd b/docs/run/src/tb_run_all_in_same_sim.vhd index 6250df05f..ddf631a7c 100644 --- a/docs/run/src/tb_run_all_in_same_sim.vhd +++ b/docs/run/src/tb_run_all_in_same_sim.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- start_snippet tb_run_all_in_same_sim library vunit_lib; diff --git a/docs/run/src/tb_running_test_case.vhd b/docs/run/src/tb_running_test_case.vhd index 94a834d68..9f5764870 100644 --- a/docs/run/src/tb_running_test_case.vhd +++ b/docs/run/src/tb_running_test_case.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/tb_standalone.vhd b/docs/run/src/tb_standalone.vhd index 9fd83d0ec..ec636bf7b 100644 --- a/docs/run/src/tb_standalone.vhd +++ b/docs/run/src/tb_standalone.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- start_snippet tb_standalone library vunit_lib; diff --git a/docs/run/src/tb_stop_level.vhd b/docs/run/src/tb_stop_level.vhd index efd04f94b..e9ed06c8e 100644 --- a/docs/run/src/tb_stop_level.vhd +++ b/docs/run/src/tb_stop_level.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/tb_stopping_failure.vhd b/docs/run/src/tb_stopping_failure.vhd index 15cdd7771..c019ad619 100644 --- a/docs/run/src/tb_stopping_failure.vhd +++ b/docs/run/src/tb_stopping_failure.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/tb_with_lower_level_control.vhd b/docs/run/src/tb_with_lower_level_control.vhd index 77099f6d9..f47cd77df 100644 --- a/docs/run/src/tb_with_lower_level_control.vhd +++ b/docs/run/src/tb_with_lower_level_control.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- start_snippet tb_with_lower_level_control library vunit_lib; diff --git a/docs/run/src/tb_with_test_cases.vhd b/docs/run/src/tb_with_test_cases.vhd index 360538168..5a9b600d5 100644 --- a/docs/run/src/tb_with_test_cases.vhd +++ b/docs/run/src/tb_with_test_cases.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/tb_with_watchdog.vhd b/docs/run/src/tb_with_watchdog.vhd index 0a84945ff..0721c9476 100644 --- a/docs/run/src/tb_with_watchdog.vhd +++ b/docs/run/src/tb_with_watchdog.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/docs/run/src/test_control.vhd b/docs/run/src/test_control.vhd index d6717b09e..e47e1613e 100644 --- a/docs/run/src/test_control.vhd +++ b/docs/run/src/test_control.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- start_snippet test_control library vunit_lib; diff --git a/examples/verilog/uart/run.py b/examples/verilog/uart/run.py index 4fe8802ac..3c8b934d8 100644 --- a/examples/verilog/uart/run.py +++ b/examples/verilog/uart/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ SystemVerilog UART diff --git a/examples/verilog/uart/src/test/tb_uart_rx.sv b/examples/verilog/uart/src/test/tb_uart_rx.sv index 65b2ecbf1..97eb9be53 100644 --- a/examples/verilog/uart/src/test/tb_uart_rx.sv +++ b/examples/verilog/uart/src/test/tb_uart_rx.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/examples/verilog/uart/src/test/tb_uart_tx.sv b/examples/verilog/uart/src/test/tb_uart_tx.sv index 68d217d5a..811ad2513 100644 --- a/examples/verilog/uart/src/test/tb_uart_tx.sv +++ b/examples/verilog/uart/src/test/tb_uart_tx.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/examples/verilog/uart/src/uart_rx.sv b/examples/verilog/uart/src/uart_rx.sv index e62314809..92885aad5 100644 --- a/examples/verilog/uart/src/uart_rx.sv +++ b/examples/verilog/uart/src/uart_rx.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com module uart_rx(clk, rx, overflow, tready, tvalid, tdata); parameter integer cycles_per_bit = 434; diff --git a/examples/verilog/uart/src/uart_tx.sv b/examples/verilog/uart/src/uart_tx.sv index e11bbbfb5..22c702a1c 100644 --- a/examples/verilog/uart/src/uart_tx.sv +++ b/examples/verilog/uart/src/uart_tx.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com module uart_tx(clk, tx, tready, tvalid, tdata); parameter integer cycles_per_bit = 434; diff --git a/examples/verilog/user_guide/run.py b/examples/verilog/user_guide/run.py index 30efee0cf..fa60f61d9 100644 --- a/examples/verilog/user_guide/run.py +++ b/examples/verilog/user_guide/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ SystemVerilog User Guide diff --git a/examples/verilog/user_guide/tb_example.sv b/examples/verilog/user_guide/tb_example.sv index cf0ff7309..b1a506871 100644 --- a/examples/verilog/user_guide/tb_example.sv +++ b/examples/verilog/user_guide/tb_example.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com // You do not need to worry about adding vunit_defines.svh to your // include path, VUnit will automatically do that for you if VUnit is diff --git a/examples/verilog/user_guide/tb_example_basic.sv b/examples/verilog/user_guide/tb_example_basic.sv index c4fb70e40..166ee99f8 100644 --- a/examples/verilog/user_guide/tb_example_basic.sv +++ b/examples/verilog/user_guide/tb_example_basic.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com // You do not need to worry about adding vunit_defines.svh to your // include path, VUnit will automatically do that for you if VUnit is diff --git a/examples/verilog/verilog_ams/run.py b/examples/verilog/verilog_ams/run.py index a538dfab6..83199304e 100644 --- a/examples/verilog/verilog_ams/run.py +++ b/examples/verilog/verilog_ams/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/examples/verilog/verilog_ams/tb_dut.sv b/examples/verilog/verilog_ams/tb_dut.sv index 5d57ed8f2..0d1eb234b 100644 --- a/examples/verilog/verilog_ams/tb_dut.sv +++ b/examples/verilog/verilog_ams/tb_dut.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/examples/vhdl/array/run.py b/examples/vhdl/array/run.py index 1223f8d56..09e2d5193 100644 --- a/examples/vhdl/array/run.py +++ b/examples/vhdl/array/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Array diff --git a/examples/vhdl/array/src/sobel_x.vhd b/examples/vhdl/array/src/sobel_x.vhd index 3942ac544..1d1ad9124 100644 --- a/examples/vhdl/array/src/sobel_x.vhd +++ b/examples/vhdl/array/src/sobel_x.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/array/src/test/tb_sobel_x.vhd b/examples/vhdl/array/src/test/tb_sobel_x.vhd index ff6d160d6..4b33e9652 100644 --- a/examples/vhdl/array/src/test/tb_sobel_x.vhd +++ b/examples/vhdl/array/src/test/tb_sobel_x.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/array_axis_vcs/run.py b/examples/vhdl/array_axis_vcs/run.py index f2de613ea..533eb2ae3 100644 --- a/examples/vhdl/array_axis_vcs/run.py +++ b/examples/vhdl/array_axis_vcs/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Array and AXI4 Stream Verification Components diff --git a/examples/vhdl/array_axis_vcs/src/axis_buffer.vhd b/examples/vhdl/array_axis_vcs/src/axis_buffer.vhd index 85f3cf5c1..dcbc29a1a 100644 --- a/examples/vhdl/array_axis_vcs/src/axis_buffer.vhd +++ b/examples/vhdl/array_axis_vcs/src/axis_buffer.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; context ieee.ieee_std_context; diff --git a/examples/vhdl/array_axis_vcs/src/fifo.vhd b/examples/vhdl/array_axis_vcs/src/fifo.vhd index 9ec03aaa6..44c6c4239 100644 --- a/examples/vhdl/array_axis_vcs/src/fifo.vhd +++ b/examples/vhdl/array_axis_vcs/src/fifo.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; context ieee.ieee_std_context; diff --git a/examples/vhdl/array_axis_vcs/src/test/tb_axis_loop.vhd b/examples/vhdl/array_axis_vcs/src/test/tb_axis_loop.vhd index 7627fbacb..9e5f36442 100644 --- a/examples/vhdl/array_axis_vcs/src/test/tb_axis_loop.vhd +++ b/examples/vhdl/array_axis_vcs/src/test/tb_axis_loop.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- This testbench is a Minimum Working Example (MWE) of VUnit's resources to read/write CSV files and to verify -- AXI4-Stream components. A CSV file that contains comma separated integers is read from `data_path & csv_i`, and it is diff --git a/examples/vhdl/array_axis_vcs/src/test/vc_axis.vhd b/examples/vhdl/array_axis_vcs/src/test/vc_axis.vhd index 16885aafe..0c6ebb0d1 100644 --- a/examples/vhdl/array_axis_vcs/src/test/vc_axis.vhd +++ b/examples/vhdl/array_axis_vcs/src/test/vc_axis.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; context ieee.ieee_std_context; diff --git a/examples/vhdl/axi_dma/run.py b/examples/vhdl/axi_dma/run.py index 2d3064696..63a772a6f 100644 --- a/examples/vhdl/axi_dma/run.py +++ b/examples/vhdl/axi_dma/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ AXI DMA diff --git a/examples/vhdl/axi_dma/src/axi_burst_gen.vhd b/examples/vhdl/axi_dma/src/axi_burst_gen.vhd index aabb39cef..6fc46f967 100644 --- a/examples/vhdl/axi_dma/src/axi_burst_gen.vhd +++ b/examples/vhdl/axi_dma/src/axi_burst_gen.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/axi_dma.vhd b/examples/vhdl/axi_dma/src/axi_dma.vhd index 949aaa457..e6ebb92d5 100644 --- a/examples/vhdl/axi_dma/src/axi_dma.vhd +++ b/examples/vhdl/axi_dma/src/axi_dma.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/axi_dma_regs.vhd b/examples/vhdl/axi_dma/src/axi_dma_regs.vhd index 21700428f..c7ba5e910 100644 --- a/examples/vhdl/axi_dma/src/axi_dma_regs.vhd +++ b/examples/vhdl/axi_dma/src/axi_dma_regs.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/axi_dma_regs_pkg.vhd b/examples/vhdl/axi_dma/src/axi_dma_regs_pkg.vhd index d604b5683..36cd9812b 100644 --- a/examples/vhdl/axi_dma/src/axi_dma_regs_pkg.vhd +++ b/examples/vhdl/axi_dma/src/axi_dma_regs_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/axi_pkg.vhd b/examples/vhdl/axi_dma/src/axi_pkg.vhd index a9eaafe97..4b82284bf 100644 --- a/examples/vhdl/axi_dma/src/axi_pkg.vhd +++ b/examples/vhdl/axi_dma/src/axi_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/axil_pkg.vhd b/examples/vhdl/axi_dma/src/axil_pkg.vhd index 4b0b5fccb..c6da04830 100644 --- a/examples/vhdl/axi_dma/src/axil_pkg.vhd +++ b/examples/vhdl/axi_dma/src/axil_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Defines AXI4-lite data bus types diff --git a/examples/vhdl/axi_dma/src/test/tb_axi_dma.vhd b/examples/vhdl/axi_dma/src/test/tb_axi_dma.vhd index 215c3c0d7..b3ef178e3 100644 --- a/examples/vhdl/axi_dma/src/test/tb_axi_dma.vhd +++ b/examples/vhdl/axi_dma/src/test/tb_axi_dma.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/test/tb_axi_dma_regs.vhd b/examples/vhdl/axi_dma/src/test/tb_axi_dma_regs.vhd index 0cd2b224a..2d06ff131 100644 --- a/examples/vhdl/axi_dma/src/test/tb_axi_dma_regs.vhd +++ b/examples/vhdl/axi_dma/src/test/tb_axi_dma_regs.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/axi_dma/src/test/tb_util_pkg.vhd b/examples/vhdl/axi_dma/src/test/tb_util_pkg.vhd index b64a0fab2..47337b435 100644 --- a/examples/vhdl/axi_dma/src/test/tb_util_pkg.vhd +++ b/examples/vhdl/axi_dma/src/test/tb_util_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/examples/vhdl/axi_dma/src/util_pkg.vhd b/examples/vhdl/axi_dma/src/util_pkg.vhd index 4338a4d4e..3a79a9735 100644 --- a/examples/vhdl/axi_dma/src/util_pkg.vhd +++ b/examples/vhdl/axi_dma/src/util_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.math_real.all; diff --git a/examples/vhdl/check/run.py b/examples/vhdl/check/run.py index 514b306d9..b7a803f34 100644 --- a/examples/vhdl/check/run.py +++ b/examples/vhdl/check/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Check diff --git a/examples/vhdl/check/tb_example.vhd b/examples/vhdl/check/tb_example.vhd index bf0bb5805..b705e368e 100644 --- a/examples/vhdl/check/tb_example.vhd +++ b/examples/vhdl/check/tb_example.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/com/run.py b/examples/vhdl/com/run.py index 02ef6697d..2558673de 100644 --- a/examples/vhdl/com/run.py +++ b/examples/vhdl/com/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Communication library diff --git a/examples/vhdl/com/src/adder.vhd b/examples/vhdl/com/src/adder.vhd index 61fb46723..99b35731c 100644 --- a/examples/vhdl/com/src/adder.vhd +++ b/examples/vhdl/com/src/adder.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/com/test/memory_bfm.vhd b/examples/vhdl/com/test/memory_bfm.vhd index b672fc8fb..b9171e9f2 100644 --- a/examples/vhdl/com/test/memory_bfm.vhd +++ b/examples/vhdl/com/test/memory_bfm.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/com/test/memory_bfm_pkg.vhd b/examples/vhdl/com/test/memory_bfm_pkg.vhd index 1dc982893..5b290b675 100644 --- a/examples/vhdl/com/test/memory_bfm_pkg.vhd +++ b/examples/vhdl/com/test/memory_bfm_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/com/test/tb_user_guide.vhd b/examples/vhdl/com/test/tb_user_guide.vhd index 22867d28b..d02e0b02e 100644 --- a/examples/vhdl/com/test/tb_user_guide.vhd +++ b/examples/vhdl/com/test/tb_user_guide.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/composite_generics/run.py b/examples/vhdl/composite_generics/run.py index b8317704d..2728fa428 100644 --- a/examples/vhdl/composite_generics/run.py +++ b/examples/vhdl/composite_generics/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Composite generics diff --git a/examples/vhdl/composite_generics/test/tb_composite_generics.vhd b/examples/vhdl/composite_generics/test/tb_composite_generics.vhd index b2dd9dfac..a5742ed1b 100644 --- a/examples/vhdl/composite_generics/test/tb_composite_generics.vhd +++ b/examples/vhdl/composite_generics/test/tb_composite_generics.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/coverage/run.py b/examples/vhdl/coverage/run.py index 05c039f88..1fe1c7d7a 100644 --- a/examples/vhdl/coverage/run.py +++ b/examples/vhdl/coverage/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/examples/vhdl/coverage/tb_coverage.vhd b/examples/vhdl/coverage/tb_coverage.vhd index a512c5c09..a985ea407 100644 --- a/examples/vhdl/coverage/tb_coverage.vhd +++ b/examples/vhdl/coverage/tb_coverage.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package pkg is attribute attr : string; diff --git a/examples/vhdl/data_types/tb_dict_transactions.vhd b/examples/vhdl/data_types/tb_dict_transactions.vhd index 6450ae666..1ad2526a7 100644 --- a/examples/vhdl/data_types/tb_dict_transactions.vhd +++ b/examples/vhdl/data_types/tb_dict_transactions.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/generate_tests/run.py b/examples/vhdl/generate_tests/run.py index bf9016bc4..e3b3faca9 100644 --- a/examples/vhdl/generate_tests/run.py +++ b/examples/vhdl/generate_tests/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Generating tests diff --git a/examples/vhdl/generate_tests/test/tb_generated.vhd b/examples/vhdl/generate_tests/test/tb_generated.vhd index 0fd0cdf50..f78a693ab 100644 --- a/examples/vhdl/generate_tests/test/tb_generated.vhd +++ b/examples/vhdl/generate_tests/test/tb_generated.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/examples/vhdl/json4vhdl/run.py b/examples/vhdl/json4vhdl/run.py index 74898340e..dbd928045 100644 --- a/examples/vhdl/json4vhdl/run.py +++ b/examples/vhdl/json4vhdl/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ JSON-for-VHDL diff --git a/examples/vhdl/json4vhdl/src/test/tb_json_gens.vhd b/examples/vhdl/json4vhdl/src/test/tb_json_gens.vhd index 4a4fc9c92..e7bee00ce 100644 --- a/examples/vhdl/json4vhdl/src/test/tb_json_gens.vhd +++ b/examples/vhdl/json4vhdl/src/test/tb_json_gens.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; library JSON; diff --git a/examples/vhdl/logging/run.py b/examples/vhdl/logging/run.py index 5c4bdcd77..f5a4b6c42 100644 --- a/examples/vhdl/logging/run.py +++ b/examples/vhdl/logging/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Logging diff --git a/examples/vhdl/logging/tb_logging_example.vhd b/examples/vhdl/logging/tb_logging_example.vhd index 771c6e0fb..916f81dfb 100644 --- a/examples/vhdl/logging/tb_logging_example.vhd +++ b/examples/vhdl/logging/tb_logging_example.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.print_pkg.all; diff --git a/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg-body.vhd b/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg-body.vhd index 6b105711a..30f5a919a 100644 --- a/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg-body.vhd +++ b/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg.vhd b/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg.vhd index 932be7946..4ba5540ff 100644 --- a/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg.vhd +++ b/examples/vhdl/osvvm_log_integration/osvvm_integration/osvvm_to_vunit_common_log_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/examples/vhdl/osvvm_log_integration/osvvm_integration/vunit_to_osvvm_common_log_pkg-body.vhd b/examples/vhdl/osvvm_log_integration/osvvm_integration/vunit_to_osvvm_common_log_pkg-body.vhd index 091d09aec..9715e3bba 100644 --- a/examples/vhdl/osvvm_log_integration/osvvm_integration/vunit_to_osvvm_common_log_pkg-body.vhd +++ b/examples/vhdl/osvvm_log_integration/osvvm_integration/vunit_to_osvvm_common_log_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.ansi_pkg.all; use work.log_levels_pkg.all; diff --git a/examples/vhdl/osvvm_log_integration/run.py b/examples/vhdl/osvvm_log_integration/run.py index 42d3507d5..ab5c69145 100644 --- a/examples/vhdl/osvvm_log_integration/run.py +++ b/examples/vhdl/osvvm_log_integration/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from itertools import product diff --git a/examples/vhdl/osvvm_log_integration/tb_example.vhd b/examples/vhdl/osvvm_log_integration/tb_example.vhd index ea8289486..2c1149245 100644 --- a/examples/vhdl/osvvm_log_integration/tb_example.vhd +++ b/examples/vhdl/osvvm_log_integration/tb_example.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/run.py b/examples/vhdl/run/run.py index 91e1efddc..63ab78b5b 100644 --- a/examples/vhdl/run/run.py +++ b/examples/vhdl/run/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Run diff --git a/examples/vhdl/run/tb_counting_errors.vhd b/examples/vhdl/run/tb_counting_errors.vhd index 33e9e76f6..38305c295 100644 --- a/examples/vhdl/run/tb_counting_errors.vhd +++ b/examples/vhdl/run/tb_counting_errors.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_magic_paths.vhd b/examples/vhdl/run/tb_magic_paths.vhd index 90985bdd9..5a0ac2add 100644 --- a/examples/vhdl/run/tb_magic_paths.vhd +++ b/examples/vhdl/run/tb_magic_paths.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_many_ways_to_fail.vhd b/examples/vhdl/run/tb_many_ways_to_fail.vhd index 0867ca66d..f008a62c5 100644 --- a/examples/vhdl/run/tb_many_ways_to_fail.vhd +++ b/examples/vhdl/run/tb_many_ways_to_fail.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_minimal.vhd b/examples/vhdl/run/tb_minimal.vhd index 2606246ac..d40ea5f67 100644 --- a/examples/vhdl/run/tb_minimal.vhd +++ b/examples/vhdl/run/tb_minimal.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_running_test_case.vhd b/examples/vhdl/run/tb_running_test_case.vhd index 40560df2e..867380d38 100644 --- a/examples/vhdl/run/tb_running_test_case.vhd +++ b/examples/vhdl/run/tb_running_test_case.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_standalone.vhd b/examples/vhdl/run/tb_standalone.vhd index b54d345e7..78258a2cb 100644 --- a/examples/vhdl/run/tb_standalone.vhd +++ b/examples/vhdl/run/tb_standalone.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_with_lower_level_control.vhd b/examples/vhdl/run/tb_with_lower_level_control.vhd index ba08f452c..ca9b7205a 100644 --- a/examples/vhdl/run/tb_with_lower_level_control.vhd +++ b/examples/vhdl/run/tb_with_lower_level_control.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_with_test_cases.vhd b/examples/vhdl/run/tb_with_test_cases.vhd index a688c76be..4cc65eb17 100644 --- a/examples/vhdl/run/tb_with_test_cases.vhd +++ b/examples/vhdl/run/tb_with_test_cases.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/tb_with_watchdog.vhd b/examples/vhdl/run/tb_with_watchdog.vhd index f9634a007..709f58450 100644 --- a/examples/vhdl/run/tb_with_watchdog.vhd +++ b/examples/vhdl/run/tb_with_watchdog.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/run/test_control.vhd b/examples/vhdl/run/test_control.vhd index 3d6e976f0..b22fcfaf5 100644 --- a/examples/vhdl/run/test_control.vhd +++ b/examples/vhdl/run/test_control.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/third_party_integration/run.py b/examples/vhdl/third_party_integration/run.py index 3dcdc9b2d..d96307631 100644 --- a/examples/vhdl/third_party_integration/run.py +++ b/examples/vhdl/third_party_integration/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/examples/vhdl/third_party_integration/test/tb_external_framework_integration.vhd b/examples/vhdl/third_party_integration/test/tb_external_framework_integration.vhd index bb7098ac5..8299b2e9b 100644 --- a/examples/vhdl/third_party_integration/test/tb_external_framework_integration.vhd +++ b/examples/vhdl/third_party_integration/test/tb_external_framework_integration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com ------------------------------------------------------------------------------- -- This file shows how to integrate external assertion -- libraries into VUnit. It can be a company proprietary diff --git a/examples/vhdl/uart/run.py b/examples/vhdl/uart/run.py index 379d605a5..bd58e18dc 100644 --- a/examples/vhdl/uart/run.py +++ b/examples/vhdl/uart/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ VHDL UART diff --git a/examples/vhdl/uart/src/test/tb_uart_rx.vhd b/examples/vhdl/uart/src/test/tb_uart_rx.vhd index 8baf4634f..f2bea6ea1 100644 --- a/examples/vhdl/uart/src/test/tb_uart_rx.vhd +++ b/examples/vhdl/uart/src/test/tb_uart_rx.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/uart/src/test/tb_uart_tx.vhd b/examples/vhdl/uart/src/test/tb_uart_tx.vhd index 2eb13b03e..4f3685ce1 100644 --- a/examples/vhdl/uart/src/test/tb_uart_tx.vhd +++ b/examples/vhdl/uart/src/test/tb_uart_tx.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/uart/src/uart_rx.vhd b/examples/vhdl/uart/src/uart_rx.vhd index d20d03293..e5c868506 100644 --- a/examples/vhdl/uart/src/uart_rx.vhd +++ b/examples/vhdl/uart/src/uart_rx.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/uart/src/uart_tx.vhd b/examples/vhdl/uart/src/uart_tx.vhd index e4a658e0b..5dd74649a 100644 --- a/examples/vhdl/uart/src/uart_tx.vhd +++ b/examples/vhdl/uart/src/uart_tx.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/user_guide/run.py b/examples/vhdl/user_guide/run.py index a5b67b4f3..1cef2e417 100644 --- a/examples/vhdl/user_guide/run.py +++ b/examples/vhdl/user_guide/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ VHDL User Guide diff --git a/examples/vhdl/user_guide/tb_example.vhd b/examples/vhdl/user_guide/tb_example.vhd index 005373a38..77f541456 100644 --- a/examples/vhdl/user_guide/tb_example.vhd +++ b/examples/vhdl/user_guide/tb_example.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/user_guide/tb_example_many.vhd b/examples/vhdl/user_guide/tb_example_many.vhd index 2d68d81a6..c3e1f9dd0 100644 --- a/examples/vhdl/user_guide/tb_example_many.vhd +++ b/examples/vhdl/user_guide/tb_example_many.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/user_guide/vhdl1993/run.py b/examples/vhdl/user_guide/vhdl1993/run.py index 93b896b9e..85610a59f 100644 --- a/examples/vhdl/user_guide/vhdl1993/run.py +++ b/examples/vhdl/user_guide/vhdl1993/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ VHDL User Guide diff --git a/examples/vhdl/user_guide/vhdl1993/tb_example.vhd b/examples/vhdl/user_guide/vhdl1993/tb_example.vhd index 5314570ee..a9eefd6a4 100644 --- a/examples/vhdl/user_guide/vhdl1993/tb_example.vhd +++ b/examples/vhdl/user_guide/vhdl1993/tb_example.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/examples/vhdl/user_guide/vhdl1993/tb_example_many.vhd b/examples/vhdl/user_guide/vhdl1993/tb_example_many.vhd index 1f0dad837..89fa96483 100644 --- a/examples/vhdl/user_guide/vhdl1993/tb_example_many.vhd +++ b/examples/vhdl/user_guide/vhdl1993/tb_example_many.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/examples/vhdl/vhdl_configuration/dff.vhd b/examples/vhdl/vhdl_configuration/dff.vhd index 0933f7802..b724d6b0c 100644 --- a/examples/vhdl/vhdl_configuration/dff.vhd +++ b/examples/vhdl/vhdl_configuration/dff.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vhdl_configuration/run.py b/examples/vhdl/vhdl_configuration/run.py index 48cedbda6..0008ed33d 100644 --- a/examples/vhdl/vhdl_configuration/run.py +++ b/examples/vhdl/vhdl_configuration/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd b/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd index a502a7ea8..f47ea7652 100644 --- a/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd +++ b/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using VHDL configurations -- to select DUT architecture diff --git a/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd b/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd index 3a3218dfc..2f93a2c27 100644 --- a/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd +++ b/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using separate architectures -- of a test runner entity to define different tests. This is a structure diff --git a/examples/vhdl/vhdl_configuration/test_reset.vhd b/examples/vhdl/vhdl_configuration/test_reset.vhd index c090872f3..6e43fd681 100644 --- a/examples/vhdl/vhdl_configuration/test_reset.vhd +++ b/examples/vhdl/vhdl_configuration/test_reset.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/vhdl_configuration/test_runner.vhd b/examples/vhdl/vhdl_configuration/test_runner.vhd index c62bf1fef..97c09f66b 100644 --- a/examples/vhdl/vhdl_configuration/test_runner.vhd +++ b/examples/vhdl/vhdl_configuration/test_runner.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vhdl_configuration/test_state_change.vhd b/examples/vhdl/vhdl_configuration/test_state_change.vhd index ae7ca707b..d1894d52d 100644 --- a/examples/vhdl/vhdl_configuration/test_state_change.vhd +++ b/examples/vhdl/vhdl_configuration/test_state_change.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/vivado/generate_vivado_project.py b/examples/vhdl/vivado/generate_vivado_project.py index 7ab42dc6d..93335afc5 100644 --- a/examples/vhdl/vivado/generate_vivado_project.py +++ b/examples/vhdl/vivado/generate_vivado_project.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from shutil import rmtree diff --git a/examples/vhdl/vivado/run.py b/examples/vhdl/vivado/run.py index c35563c90..0385c41f5 100644 --- a/examples/vhdl/vivado/run.py +++ b/examples/vhdl/vivado/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Vivado IP diff --git a/examples/vhdl/vivado/src/test/tb_top.vhd b/examples/vhdl/vivado/src/test/tb_top.vhd index 439f6052d..0e98080a6 100644 --- a/examples/vhdl/vivado/src/test/tb_top.vhd +++ b/examples/vhdl/vivado/src/test/tb_top.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vivado/src/top.vhd b/examples/vhdl/vivado/src/top.vhd index dde6f0c51..44bffc6d2 100644 --- a/examples/vhdl/vivado/src/top.vhd +++ b/examples/vhdl/vivado/src/top.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vivado/vivado_util.py b/examples/vhdl/vivado/vivado_util.py index d3aa1042c..d49f5a1fc 100644 --- a/examples/vhdl/vivado/vivado_util.py +++ b/examples/vhdl/vivado/vivado_util.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com import sys from pathlib import Path diff --git a/setup.py b/setup.py index b90d12fbb..320483e99 100644 --- a/setup.py +++ b/setup.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ PyPI setup script diff --git a/tests/__init__.py b/tests/__init__.py index e7fcdad37..af117e037 100644 --- a/tests/__init__.py +++ b/tests/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/tests/acceptance/__init__.py b/tests/acceptance/__init__.py index e7fcdad37..af117e037 100644 --- a/tests/acceptance/__init__.py +++ b/tests/acceptance/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/tests/acceptance/artificial/verilog/other_file_tests.sv b/tests/acceptance/artificial/verilog/other_file_tests.sv index 25dc54a51..d7fcbcd6c 100644 --- a/tests/acceptance/artificial/verilog/other_file_tests.sv +++ b/tests/acceptance/artificial/verilog/other_file_tests.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/run.py b/tests/acceptance/artificial/verilog/run.py index 73cd289c4..24ef28062 100644 --- a/tests/acceptance/artificial/verilog/run.py +++ b/tests/acceptance/artificial/verilog/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from glob import glob diff --git a/tests/acceptance/artificial/verilog/tb_fail_on_fatal_and_early_finish.sv b/tests/acceptance/artificial/verilog/tb_fail_on_fatal_and_early_finish.sv index ed20212ea..c320c2d10 100644 --- a/tests/acceptance/artificial/verilog/tb_fail_on_fatal_and_early_finish.sv +++ b/tests/acceptance/artificial/verilog/tb_fail_on_fatal_and_early_finish.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_fail_on_warning.sv b/tests/acceptance/artificial/verilog/tb_fail_on_warning.sv index 8efab37a5..8ae743497 100644 --- a/tests/acceptance/artificial/verilog/tb_fail_on_warning.sv +++ b/tests/acceptance/artificial/verilog/tb_fail_on_warning.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com // vunit: fail_on_warning diff --git a/tests/acceptance/artificial/verilog/tb_fail_on_warning_from_python.sv b/tests/acceptance/artificial/verilog/tb_fail_on_warning_from_python.sv index 90a3a98db..a729d3cb1 100644 --- a/tests/acceptance/artificial/verilog/tb_fail_on_warning_from_python.sv +++ b/tests/acceptance/artificial/verilog/tb_fail_on_warning_from_python.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_magic_paths.sv b/tests/acceptance/artificial/verilog/tb_magic_paths.sv index 3ab2667f7..5f566ceea 100644 --- a/tests/acceptance/artificial/verilog/tb_magic_paths.sv +++ b/tests/acceptance/artificial/verilog/tb_magic_paths.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_other_file_tests.sv b/tests/acceptance/artificial/verilog/tb_other_file_tests.sv index 5ee826b83..01d83ad9a 100644 --- a/tests/acceptance/artificial/verilog/tb_other_file_tests.sv +++ b/tests/acceptance/artificial/verilog/tb_other_file_tests.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com module tb_other_file_tests; parameter string runner_cfg = ""; diff --git a/tests/acceptance/artificial/verilog/tb_same_sim_all_pass.sv b/tests/acceptance/artificial/verilog/tb_same_sim_all_pass.sv index cc87dfd6c..20ebd44cd 100644 --- a/tests/acceptance/artificial/verilog/tb_same_sim_all_pass.sv +++ b/tests/acceptance/artificial/verilog/tb_same_sim_all_pass.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com // vunit: run_all_in_same_sim diff --git a/tests/acceptance/artificial/verilog/tb_same_sim_from_python_all_pass.sv b/tests/acceptance/artificial/verilog/tb_same_sim_from_python_all_pass.sv index 09f69dc33..a1b606ab2 100644 --- a/tests/acceptance/artificial/verilog/tb_same_sim_from_python_all_pass.sv +++ b/tests/acceptance/artificial/verilog/tb_same_sim_from_python_all_pass.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_same_sim_from_python_some_fail.sv b/tests/acceptance/artificial/verilog/tb_same_sim_from_python_some_fail.sv index f207c1f6b..fea74fcdb 100644 --- a/tests/acceptance/artificial/verilog/tb_same_sim_from_python_some_fail.sv +++ b/tests/acceptance/artificial/verilog/tb_same_sim_from_python_some_fail.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_same_sim_some_fail.sv b/tests/acceptance/artificial/verilog/tb_same_sim_some_fail.sv index 4bb6229f7..7cdeb2c4e 100644 --- a/tests/acceptance/artificial/verilog/tb_same_sim_some_fail.sv +++ b/tests/acceptance/artificial/verilog/tb_same_sim_some_fail.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com // vunit: run_all_in_same_sim diff --git a/tests/acceptance/artificial/verilog/tb_with_define.sv b/tests/acceptance/artificial/verilog/tb_with_define.sv index 243d6694e..ffbcad512 100644 --- a/tests/acceptance/artificial/verilog/tb_with_define.sv +++ b/tests/acceptance/artificial/verilog/tb_with_define.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_with_parameter_config.sv b/tests/acceptance/artificial/verilog/tb_with_parameter_config.sv index 80373ab96..7c4694eda 100644 --- a/tests/acceptance/artificial/verilog/tb_with_parameter_config.sv +++ b/tests/acceptance/artificial/verilog/tb_with_parameter_config.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/verilog/tb_with_runner.sv b/tests/acceptance/artificial/verilog/tb_with_runner.sv index 8873b01c3..1634c194d 100644 --- a/tests/acceptance/artificial/verilog/tb_with_runner.sv +++ b/tests/acceptance/artificial/verilog/tb_with_runner.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `include "vunit_defines.svh" diff --git a/tests/acceptance/artificial/vhdl/bool_driver.vhd b/tests/acceptance/artificial/vhdl/bool_driver.vhd index aadf3a2b6..4234b4cdf 100644 --- a/tests/acceptance/artificial/vhdl/bool_driver.vhd +++ b/tests/acceptance/artificial/vhdl/bool_driver.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- From Issue 71. Generic overridden on all hiearchy levels. diff --git a/tests/acceptance/artificial/vhdl/cfg1.vhd b/tests/acceptance/artificial/vhdl/cfg1.vhd index c133c117b..63e476803 100644 --- a/tests/acceptance/artificial/vhdl/cfg1.vhd +++ b/tests/acceptance/artificial/vhdl/cfg1.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com architecture arch1 of ent is begin diff --git a/tests/acceptance/artificial/vhdl/cfg2.vhd b/tests/acceptance/artificial/vhdl/cfg2.vhd index ed508c04e..50fdd3aa4 100644 --- a/tests/acceptance/artificial/vhdl/cfg2.vhd +++ b/tests/acceptance/artificial/vhdl/cfg2.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com architecture arch2 of ent is begin diff --git a/tests/acceptance/artificial/vhdl/cfg3.vhd b/tests/acceptance/artificial/vhdl/cfg3.vhd index 97a02349e..3c4614391 100644 --- a/tests/acceptance/artificial/vhdl/cfg3.vhd +++ b/tests/acceptance/artificial/vhdl/cfg3.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com architecture arch3 of ent is begin diff --git a/tests/acceptance/artificial/vhdl/ent.vhd b/tests/acceptance/artificial/vhdl/ent.vhd index 7136d9894..3c5e592a8 100644 --- a/tests/acceptance/artificial/vhdl/ent.vhd +++ b/tests/acceptance/artificial/vhdl/ent.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com entity ent is port(arch : out string(1 to 5)); diff --git a/tests/acceptance/artificial/vhdl/other_file_tests.vhd b/tests/acceptance/artificial/vhdl/other_file_tests.vhd index 40a528a30..3e63ac1bb 100644 --- a/tests/acceptance/artificial/vhdl/other_file_tests.vhd +++ b/tests/acceptance/artificial/vhdl/other_file_tests.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/run.py b/tests/acceptance/artificial/vhdl/run.py index e2d489d5a..b94620abd 100644 --- a/tests/acceptance/artificial/vhdl/run.py +++ b/tests/acceptance/artificial/vhdl/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from glob import glob diff --git a/tests/acceptance/artificial/vhdl/tb_assert_stop_level.vhd b/tests/acceptance/artificial/vhdl/tb_assert_stop_level.vhd index f0accd0fd..755fd7cf4 100644 --- a/tests/acceptance/artificial/vhdl/tb_assert_stop_level.vhd +++ b/tests/acceptance/artificial/vhdl/tb_assert_stop_level.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- This attribute should be ignored when VHDL assert stop level is used -- vunit: fail_on_warning diff --git a/tests/acceptance/artificial/vhdl/tb_elab_fail.vhd b/tests/acceptance/artificial/vhdl/tb_elab_fail.vhd index 84dc560b7..fb0a7c296 100644 --- a/tests/acceptance/artificial/vhdl/tb_elab_fail.vhd +++ b/tests/acceptance/artificial/vhdl/tb_elab_fail.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_fail.vhd b/tests/acceptance/artificial/vhdl/tb_fail.vhd index 77a117182..a9213bef5 100644 --- a/tests/acceptance/artificial/vhdl/tb_fail.vhd +++ b/tests/acceptance/artificial/vhdl/tb_fail.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_fail_on_warning.vhd b/tests/acceptance/artificial/vhdl/tb_fail_on_warning.vhd index 72d25b704..ed5f180ca 100644 --- a/tests/acceptance/artificial/vhdl/tb_fail_on_warning.vhd +++ b/tests/acceptance/artificial/vhdl/tb_fail_on_warning.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: fail_on_warning diff --git a/tests/acceptance/artificial/vhdl/tb_fail_on_warning_from_python.vhd b/tests/acceptance/artificial/vhdl/tb_fail_on_warning_from_python.vhd index 76af047e5..1827b3162 100644 --- a/tests/acceptance/artificial/vhdl/tb_fail_on_warning_from_python.vhd +++ b/tests/acceptance/artificial/vhdl/tb_fail_on_warning_from_python.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_ieee_warning.vhd b/tests/acceptance/artificial/vhdl/tb_ieee_warning.vhd index 063fb7039..64e7db002 100644 --- a/tests/acceptance/artificial/vhdl/tb_ieee_warning.vhd +++ b/tests/acceptance/artificial/vhdl/tb_ieee_warning.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: fail_on_warning diff --git a/tests/acceptance/artificial/vhdl/tb_infinite_events.vhd b/tests/acceptance/artificial/vhdl/tb_infinite_events.vhd index 4ae60969a..87d928ac5 100644 --- a/tests/acceptance/artificial/vhdl/tb_infinite_events.vhd +++ b/tests/acceptance/artificial/vhdl/tb_infinite_events.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_magic_paths.vhd b/tests/acceptance/artificial/vhdl/tb_magic_paths.vhd index 8698d56a4..3598ea0af 100644 --- a/tests/acceptance/artificial/vhdl/tb_magic_paths.vhd +++ b/tests/acceptance/artificial/vhdl/tb_magic_paths.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_no_fail_after_cleanup.vhd b/tests/acceptance/artificial/vhdl/tb_no_fail_after_cleanup.vhd index fd1b654f7..25efff381 100644 --- a/tests/acceptance/artificial/vhdl/tb_no_fail_after_cleanup.vhd +++ b/tests/acceptance/artificial/vhdl/tb_no_fail_after_cleanup.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_no_fail_on_warning.vhd b/tests/acceptance/artificial/vhdl/tb_no_fail_on_warning.vhd index ed9627c21..b2403fbb0 100644 --- a/tests/acceptance/artificial/vhdl/tb_no_fail_on_warning.vhd +++ b/tests/acceptance/artificial/vhdl/tb_no_fail_on_warning.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_no_generic_override.vhd b/tests/acceptance/artificial/vhdl/tb_no_generic_override.vhd index 97efecbef..374a41565 100644 --- a/tests/acceptance/artificial/vhdl/tb_no_generic_override.vhd +++ b/tests/acceptance/artificial/vhdl/tb_no_generic_override.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- From Issue 71. Generic overridden on all hiearchy levels. diff --git a/tests/acceptance/artificial/vhdl/tb_other_file_tests.vhd b/tests/acceptance/artificial/vhdl/tb_other_file_tests.vhd index 29f79e1c2..00bd6683f 100644 --- a/tests/acceptance/artificial/vhdl/tb_other_file_tests.vhd +++ b/tests/acceptance/artificial/vhdl/tb_other_file_tests.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_pass.vhd b/tests/acceptance/artificial/vhdl/tb_pass.vhd index 742b061c8..7b380e119 100644 --- a/tests/acceptance/artificial/vhdl/tb_pass.vhd +++ b/tests/acceptance/artificial/vhdl/tb_pass.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_same_sim_all_pass.vhd b/tests/acceptance/artificial/vhdl/tb_same_sim_all_pass.vhd index 577a938c8..353763cf4 100644 --- a/tests/acceptance/artificial/vhdl/tb_same_sim_all_pass.vhd +++ b/tests/acceptance/artificial/vhdl/tb_same_sim_all_pass.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_all_pass.vhd b/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_all_pass.vhd index 8b9282571..dba7b32cd 100644 --- a/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_all_pass.vhd +++ b/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_all_pass.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_some_fail.vhd b/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_some_fail.vhd index 41da715a5..60d1945a9 100644 --- a/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_some_fail.vhd +++ b/tests/acceptance/artificial/vhdl/tb_same_sim_from_python_some_fail.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_same_sim_some_fail.vhd b/tests/acceptance/artificial/vhdl/tb_same_sim_some_fail.vhd index 333eb898c..383b82319 100644 --- a/tests/acceptance/artificial/vhdl/tb_same_sim_some_fail.vhd +++ b/tests/acceptance/artificial/vhdl/tb_same_sim_some_fail.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/tests/acceptance/artificial/vhdl/tb_set_generic.vhd b/tests/acceptance/artificial/vhdl/tb_set_generic.vhd index 38133a687..4ddde2046 100644 --- a/tests/acceptance/artificial/vhdl/tb_set_generic.vhd +++ b/tests/acceptance/artificial/vhdl/tb_set_generic.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_with_checks.vhd b/tests/acceptance/artificial/vhdl/tb_with_checks.vhd index 981777c0a..ce23025b2 100644 --- a/tests/acceptance/artificial/vhdl/tb_with_checks.vhd +++ b/tests/acceptance/artificial/vhdl/tb_with_checks.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_with_generic_config.vhd b/tests/acceptance/artificial/vhdl/tb_with_generic_config.vhd index c9a17b900..ddb38d07a 100644 --- a/tests/acceptance/artificial/vhdl/tb_with_generic_config.vhd +++ b/tests/acceptance/artificial/vhdl/tb_with_generic_config.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd b/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd index d537f3a54..daadbdc2b 100644 --- a/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd +++ b/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/tb_with_vhdl_runner.vhd b/tests/acceptance/artificial/vhdl/tb_with_vhdl_runner.vhd index 098b4709c..c03794fbf 100644 --- a/tests/acceptance/artificial/vhdl/tb_with_vhdl_runner.vhd +++ b/tests/acceptance/artificial/vhdl/tb_with_vhdl_runner.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/dependencies/pkg.vhd b/tests/acceptance/dependencies/pkg.vhd index 70efb01e6..55c8b1263 100644 --- a/tests/acceptance/dependencies/pkg.vhd +++ b/tests/acceptance/dependencies/pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package pkg is procedure proc(value : integer); diff --git a/tests/acceptance/dependencies/pkg_body1.vhd b/tests/acceptance/dependencies/pkg_body1.vhd index 8c93edd7d..b406f0e9c 100644 --- a/tests/acceptance/dependencies/pkg_body1.vhd +++ b/tests/acceptance/dependencies/pkg_body1.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body pkg is procedure proc(value : integer) is diff --git a/tests/acceptance/dependencies/pkg_body2.vhd b/tests/acceptance/dependencies/pkg_body2.vhd index b5245893a..88f547a7a 100644 --- a/tests/acceptance/dependencies/pkg_body2.vhd +++ b/tests/acceptance/dependencies/pkg_body2.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body pkg is procedure proc(value : integer) is diff --git a/tests/acceptance/dependencies/tb_pkg.vhd b/tests/acceptance/dependencies/tb_pkg.vhd index aef4b9322..c2945484b 100644 --- a/tests/acceptance/dependencies/tb_pkg.vhd +++ b/tests/acceptance/dependencies/tb_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/test_artificial.py b/tests/acceptance/test_artificial.py index 2947ec4db..ec374b4f3 100644 --- a/tests/acceptance/test_artificial.py +++ b/tests/acceptance/test_artificial.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Acceptance test of VUnit end to end functionality diff --git a/tests/acceptance/test_dependencies.py b/tests/acceptance/test_dependencies.py index 9c7f243be..2fcf17c66 100644 --- a/tests/acceptance/test_dependencies.py +++ b/tests/acceptance/test_dependencies.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Dependency requirements differ between simulators. This file contains diff --git a/tests/acceptance/test_external_run_scripts.py b/tests/acceptance/test_external_run_scripts.py index 0cea37ed3..9be99fa86 100644 --- a/tests/acceptance/test_external_run_scripts.py +++ b/tests/acceptance/test_external_run_scripts.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Verify that all external run scripts work correctly diff --git a/tests/common.py b/tests/common.py index a6b35df36..ed97a33c4 100644 --- a/tests/common.py +++ b/tests/common.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Common functions re-used between test cases diff --git a/tests/lint/__init__.py b/tests/lint/__init__.py index e7fcdad37..af117e037 100644 --- a/tests/lint/__init__.py +++ b/tests/lint/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/tests/lint/test_license.py b/tests/lint/test_license.py index e878430fc..a2115dfd8 100644 --- a/tests/lint/test_license.py +++ b/tests/lint/test_license.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ License header sanity check @@ -33,7 +33,7 @@ RE_LOG_DATE = re.compile(r"Date:\s*(?P20\d\d)-\d\d-\d\d") FIRST_YEAR = 2014 -LAST_YEAR = 2024 +LAST_YEAR = 2025 class TestLicense(unittest.TestCase): diff --git a/tests/lint/test_mypy.py b/tests/lint/test_mypy.py index 87673ccf4..250ac10f5 100644 --- a/tests/lint/test_mypy.py +++ b/tests/lint/test_mypy.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ MyPy check diff --git a/tests/lint/test_pycodestyle.py b/tests/lint/test_pycodestyle.py index 5496ac260..8d4cb2562 100644 --- a/tests/lint/test_pycodestyle.py +++ b/tests/lint/test_pycodestyle.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ PEP8 check diff --git a/tests/lint/test_pylint.py b/tests/lint/test_pylint.py index b723b2433..2722dca9c 100644 --- a/tests/lint/test_pylint.py +++ b/tests/lint/test_pylint.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Pylint check diff --git a/tests/unit/__init__.py b/tests/unit/__init__.py index e7fcdad37..af117e037 100644 --- a/tests/unit/__init__.py +++ b/tests/unit/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/tests/unit/non_utf8_printer.py b/tests/unit/non_utf8_printer.py index ec60f608d..be1ac9400 100644 --- a/tests/unit/non_utf8_printer.py +++ b/tests/unit/non_utf8_printer.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: skip-file from sys import stdout diff --git a/tests/unit/test_activehdl_interface.py b/tests/unit/test_activehdl_interface.py index 85ceabf2e..f752b23c3 100644 --- a/tests/unit/test_activehdl_interface.py +++ b/tests/unit/test_activehdl_interface.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the ActiveHDL interface diff --git a/tests/unit/test_builtins.py b/tests/unit/test_builtins.py index 5ee72336e..4a599f2a9 100644 --- a/tests/unit/test_builtins.py +++ b/tests/unit/test_builtins.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test builtins.py diff --git a/tests/unit/test_cds_file.py b/tests/unit/test_cds_file.py index 2f29a2bf0..51a4302bc 100644 --- a/tests/unit/test_cds_file.py +++ b/tests/unit/test_cds_file.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test handling of Cadence Incisive .cds files diff --git a/tests/unit/test_check_preprocessor.py b/tests/unit/test_check_preprocessor.py index 6f2fb3450..5448cdf52 100644 --- a/tests/unit/test_check_preprocessor.py +++ b/tests/unit/test_check_preprocessor.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the check preprocessor diff --git a/tests/unit/test_configuration.py b/tests/unit/test_configuration.py index 9d0a8a845..a2991d643 100644 --- a/tests/unit/test_configuration.py +++ b/tests/unit/test_configuration.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-public-methods diff --git a/tests/unit/test_csv_logs.py b/tests/unit/test_csv_logs.py index ee1e79037..e543e970c 100644 --- a/tests/unit/test_csv_logs.py +++ b/tests/unit/test_csv_logs.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test csv log functionality diff --git a/tests/unit/test_database.py b/tests/unit/test_database.py index 570c12229..a4188a260 100644 --- a/tests/unit/test_database.py +++ b/tests/unit/test_database.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the database related classes diff --git a/tests/unit/test_dependency_graph.py b/tests/unit/test_dependency_graph.py index 8368e4627..fba2a7cce 100644 --- a/tests/unit/test_dependency_graph.py +++ b/tests/unit/test_dependency_graph.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the DependencyGraph diff --git a/tests/unit/test_ghdl_interface.py b/tests/unit/test_ghdl_interface.py index 335672462..c4cf46ce4 100644 --- a/tests/unit/test_ghdl_interface.py +++ b/tests/unit/test_ghdl_interface.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the GHDL interface diff --git a/tests/unit/test_incisive_interface.py b/tests/unit/test_incisive_interface.py index 1dcb73033..9e96584b2 100644 --- a/tests/unit/test_incisive_interface.py +++ b/tests/unit/test_incisive_interface.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-public-methods, too-many-lines diff --git a/tests/unit/test_location_preprocessor.py b/tests/unit/test_location_preprocessor.py index ac563cfed..6981a2f65 100644 --- a/tests/unit/test_location_preprocessor.py +++ b/tests/unit/test_location_preprocessor.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the location preprocessor diff --git a/tests/unit/test_modelsim_interface.py b/tests/unit/test_modelsim_interface.py index be392594a..bc52f7c00 100644 --- a/tests/unit/test_modelsim_interface.py +++ b/tests/unit/test_modelsim_interface.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the ModelSim interface diff --git a/tests/unit/test_ostools.py b/tests/unit/test_ostools.py index 64403d84a..0414891c5 100644 --- a/tests/unit/test_ostools.py +++ b/tests/unit/test_ostools.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the os-dependent functionality wrappers diff --git a/tests/unit/test_project.py b/tests/unit/test_project.py index 1c1dc74d0..2c25ecb99 100644 --- a/tests/unit/test_project.py +++ b/tests/unit/test_project.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-lines diff --git a/tests/unit/test_rivierapro_interface.py b/tests/unit/test_rivierapro_interface.py index 1b6373792..904177110 100644 --- a/tests/unit/test_rivierapro_interface.py +++ b/tests/unit/test_rivierapro_interface.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the RivieraPro interface diff --git a/tests/unit/test_simulator_interface.py b/tests/unit/test_simulator_interface.py index 360619444..0639be506 100644 --- a/tests/unit/test_simulator_interface.py +++ b/tests/unit/test_simulator_interface.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the SimulatorInterface class diff --git a/tests/unit/test_test_bench.py b/tests/unit/test_test_bench.py index 994fd2481..767faf58a 100644 --- a/tests/unit/test_test_bench.py +++ b/tests/unit/test_test_bench.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-public-methods, too-many-lines diff --git a/tests/unit/test_test_bench_list.py b/tests/unit/test_test_bench_list.py index 58678ce48..f20457aa7 100644 --- a/tests/unit/test_test_bench_list.py +++ b/tests/unit/test_test_bench_list.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-public-methods diff --git a/tests/unit/test_test_report.py b/tests/unit/test_test_report.py index c551c6dc6..2a6a87ca0 100644 --- a/tests/unit/test_test_report.py +++ b/tests/unit/test_test_report.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the test report functionality diff --git a/tests/unit/test_test_runner.py b/tests/unit/test_test_runner.py index d29988fa2..00c7871a1 100644 --- a/tests/unit/test_test_runner.py +++ b/tests/unit/test_test_runner.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the test runner diff --git a/tests/unit/test_test_suites.py b/tests/unit/test_test_suites.py index 59b8b33a1..ab21cc354 100644 --- a/tests/unit/test_test_suites.py +++ b/tests/unit/test_test_suites.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the test suites diff --git a/tests/unit/test_tokenizer.py b/tests/unit/test_tokenizer.py index 018d7c302..4da92be5d 100644 --- a/tests/unit/test_tokenizer.py +++ b/tests/unit/test_tokenizer.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test of the general tokenizer diff --git a/tests/unit/test_ui.py b/tests/unit/test_ui.py index 5c3dd18a8..10f1b628c 100644 --- a/tests/unit/test_ui.py +++ b/tests/unit/test_ui.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # # pylint: disable=too-many-public-methods, too-many-lines diff --git a/tests/unit/test_verilog_parser.py b/tests/unit/test_verilog_parser.py index f97e4eb44..e717a53c9 100644 --- a/tests/unit/test_verilog_parser.py +++ b/tests/unit/test_verilog_parser.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test of the Verilog parser diff --git a/tests/unit/test_verilog_preprocessor.py b/tests/unit/test_verilog_preprocessor.py index 4caa0bff7..b626c1e2b 100644 --- a/tests/unit/test_verilog_preprocessor.py +++ b/tests/unit/test_verilog_preprocessor.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-public-methods # pylint: disable=unused-wildcard-import diff --git a/tests/unit/test_verilog_tokenizer.py b/tests/unit/test_verilog_tokenizer.py index 96b804530..942056362 100644 --- a/tests/unit/test_verilog_tokenizer.py +++ b/tests/unit/test_verilog_tokenizer.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=unused-wildcard-import # pylint: disable=wildcard-import diff --git a/tests/unit/test_vhdl_parser.py b/tests/unit/test_vhdl_parser.py index fd8a50518..b71bba4b4 100644 --- a/tests/unit/test_vhdl_parser.py +++ b/tests/unit/test_vhdl_parser.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test of the VHDL parser diff --git a/tests/unit/test_vhdl_standard.py b/tests/unit/test_vhdl_standard.py index 2fd0efc3d..7a608db7f 100644 --- a/tests/unit/test_vhdl_standard.py +++ b/tests/unit/test_vhdl_standard.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test the vhdl_standard.py file diff --git a/tools/build_docs.py b/tools/build_docs.py index 4675f48ba..6d5208f2d 100644 --- a/tools/build_docs.py +++ b/tools/build_docs.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Command line utility to build documentation/website diff --git a/tools/doc_support.py b/tools/doc_support.py index 34b654797..79370d003 100644 --- a/tools/doc_support.py +++ b/tools/doc_support.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Support functions for creating blogs diff --git a/tools/incisive_vhdl_fixup.py b/tools/incisive_vhdl_fixup.py index 9ac8a21ba..6240b6086 100644 --- a/tools/incisive_vhdl_fixup.py +++ b/tools/incisive_vhdl_fixup.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Perform necessary modifications to VUnit VHDL code to support diff --git a/tools/release.py b/tools/release.py index 064217a61..93ee163bf 100755 --- a/tools/release.py +++ b/tools/release.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Create and validates new tagged release commits diff --git a/vunit/__init__.py b/vunit/__init__.py index df4101ffa..2f6b2878d 100644 --- a/vunit/__init__.py +++ b/vunit/__init__.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Public VUnit interface diff --git a/vunit/about.py b/vunit/about.py index 98fbebb5e..cd93d21b6 100644 --- a/vunit/about.py +++ b/vunit/about.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Provides documentation and version information diff --git a/vunit/builtins.py b/vunit/builtins.py index 567f36e37..3812a0508 100755 --- a/vunit/builtins.py +++ b/vunit/builtins.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Functions to add builtin VHDL code to a project for compilation diff --git a/vunit/cached.py b/vunit/cached.py index e5b26eceb..2782250af 100644 --- a/vunit/cached.py +++ b/vunit/cached.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Utility to perform costly operation on file contents which can be cached diff --git a/vunit/check_preprocessor.py b/vunit/check_preprocessor.py index bed548289..88279473a 100644 --- a/vunit/check_preprocessor.py +++ b/vunit/check_preprocessor.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Preprocessing of check functions diff --git a/vunit/color_printer.py b/vunit/color_printer.py index 57adea125..c0a75bb68 100644 --- a/vunit/color_printer.py +++ b/vunit/color_printer.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Provides capability to print in color to the terminal in both Windows and Linux. diff --git a/vunit/com/__init__.py b/vunit/com/__init__.py index e7fcdad37..af117e037 100644 --- a/vunit/com/__init__.py +++ b/vunit/com/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/vunit/com/codec_datatype_template.py b/vunit/com/codec_datatype_template.py index c8ed4e1fa..640525471 100644 --- a/vunit/com/codec_datatype_template.py +++ b/vunit/com/codec_datatype_template.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Templates common to all datatype codecs. diff --git a/vunit/com/codec_generator.py b/vunit/com/codec_generator.py index 465c34a91..f1adc3587 100644 --- a/vunit/com/codec_generator.py +++ b/vunit/com/codec_generator.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Module for generating VHDL com codecs. diff --git a/vunit/com/codec_vhdl_array_type.py b/vunit/com/codec_vhdl_array_type.py index d2137593b..7957e17f0 100644 --- a/vunit/com/codec_vhdl_array_type.py +++ b/vunit/com/codec_vhdl_array_type.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Module containing the CodecVHDLArrayType class. diff --git a/vunit/com/codec_vhdl_enumeration_type.py b/vunit/com/codec_vhdl_enumeration_type.py index a001be0f5..03040f5be 100644 --- a/vunit/com/codec_vhdl_enumeration_type.py +++ b/vunit/com/codec_vhdl_enumeration_type.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Module containing the CodecVHDLEnumerationType class. diff --git a/vunit/com/codec_vhdl_package.py b/vunit/com/codec_vhdl_package.py index dd6d0c8b3..ac8558335 100644 --- a/vunit/com/codec_vhdl_package.py +++ b/vunit/com/codec_vhdl_package.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Module containing the CodecVHDLPackage class. diff --git a/vunit/com/codec_vhdl_record_type.py b/vunit/com/codec_vhdl_record_type.py index 13a15e86b..008d5f0da 100644 --- a/vunit/com/codec_vhdl_record_type.py +++ b/vunit/com/codec_vhdl_record_type.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Module containing the CodecVHDLRecordType class. diff --git a/vunit/configuration.py b/vunit/configuration.py index 9fff0d9a2..9d9234a19 100644 --- a/vunit/configuration.py +++ b/vunit/configuration.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Contains Configuration class which contains configuration of a test run diff --git a/vunit/csv_logs.py b/vunit/csv_logs.py index c1feb7a06..e72c05e1c 100644 --- a/vunit/csv_logs.py +++ b/vunit/csv_logs.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Provides csv log functionality diff --git a/vunit/database.py b/vunit/database.py index 98ac46cd0..d5aa77d28 100644 --- a/vunit/database.py +++ b/vunit/database.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ A simple file based database diff --git a/vunit/dependency_graph.py b/vunit/dependency_graph.py index 550d291df..0c4f21c89 100644 --- a/vunit/dependency_graph.py +++ b/vunit/dependency_graph.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Functionality to compute a dependency graph diff --git a/vunit/design_unit.py b/vunit/design_unit.py index 928007cc0..f1ea8dfdf 100644 --- a/vunit/design_unit.py +++ b/vunit/design_unit.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Classes representing Entites, Architectures, Packades, Modules etc diff --git a/vunit/exceptions.py b/vunit/exceptions.py index 29a780d3a..8005cd49d 100644 --- a/vunit/exceptions.py +++ b/vunit/exceptions.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Contains exceptions which are globally known diff --git a/vunit/hashing.py b/vunit/hashing.py index f78a6ce9f..9035ede19 100644 --- a/vunit/hashing.py +++ b/vunit/hashing.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Wrapper arround selected hash method diff --git a/vunit/json4vhdl.py b/vunit/json4vhdl.py index 3053e4fca..9b1c9658d 100644 --- a/vunit/json4vhdl.py +++ b/vunit/json4vhdl.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ json4vhdl helper functions diff --git a/vunit/library.py b/vunit/library.py index 94142943d..144d23df7 100644 --- a/vunit/library.py +++ b/vunit/library.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Functionality to represent and operate on a HDL code library diff --git a/vunit/location_preprocessor.py b/vunit/location_preprocessor.py index 6d2b7de5a..0e48e673f 100644 --- a/vunit/location_preprocessor.py +++ b/vunit/location_preprocessor.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Preprocessing of VHDL files to add file_name and line_num arguments to function calls diff --git a/vunit/ostools.py b/vunit/ostools.py index 3cb0eca01..fec89f996 100644 --- a/vunit/ostools.py +++ b/vunit/ostools.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Provides operating systems dependent functionality that can be easily diff --git a/vunit/parsing/__init__.py b/vunit/parsing/__init__.py index e7fcdad37..af117e037 100644 --- a/vunit/parsing/__init__.py +++ b/vunit/parsing/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/vunit/parsing/encodings.py b/vunit/parsing/encodings.py index 1a0671a33..b23bd80cb 100644 --- a/vunit/parsing/encodings.py +++ b/vunit/parsing/encodings.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Define common encodings diff --git a/vunit/parsing/tokenizer.py b/vunit/parsing/tokenizer.py index 75486bfaa..72e036d7f 100644 --- a/vunit/parsing/tokenizer.py +++ b/vunit/parsing/tokenizer.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ A general tokenizer diff --git a/vunit/parsing/verilog/__init__.py b/vunit/parsing/verilog/__init__.py index e7fcdad37..af117e037 100644 --- a/vunit/parsing/verilog/__init__.py +++ b/vunit/parsing/verilog/__init__.py @@ -2,4 +2,4 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com diff --git a/vunit/parsing/verilog/parser.py b/vunit/parsing/verilog/parser.py index f071f4004..48eee0400 100644 --- a/vunit/parsing/verilog/parser.py +++ b/vunit/parsing/verilog/parser.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=unused-wildcard-import # pylint: disable=wildcard-import diff --git a/vunit/parsing/verilog/preprocess.py b/vunit/parsing/verilog/preprocess.py index dfbefff94..79d28498f 100644 --- a/vunit/parsing/verilog/preprocess.py +++ b/vunit/parsing/verilog/preprocess.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=unused-wildcard-import # pylint: disable=wildcard-import diff --git a/vunit/parsing/verilog/tokenizer.py b/vunit/parsing/verilog/tokenizer.py index 568cff15d..ee615e467 100644 --- a/vunit/parsing/verilog/tokenizer.py +++ b/vunit/parsing/verilog/tokenizer.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=unused-wildcard-import # pylint: disable=wildcard-import diff --git a/vunit/parsing/verilog/tokens.py b/vunit/parsing/verilog/tokens.py index 1a7cb54a0..a8cceba7f 100644 --- a/vunit/parsing/verilog/tokens.py +++ b/vunit/parsing/verilog/tokens.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Verilog tokens diff --git a/vunit/persistent_tcl_shell.py b/vunit/persistent_tcl_shell.py index 14a395585..047f1ba16 100644 --- a/vunit/persistent_tcl_shell.py +++ b/vunit/persistent_tcl_shell.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ A persistent TCL shell to avoid startup overhead in TCL-based simulators diff --git a/vunit/project.py b/vunit/project.py index 726869f4c..e384e6019 100644 --- a/vunit/project.py +++ b/vunit/project.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Functionality to represent and operate on a HDL code project diff --git a/vunit/sim_if/__init__.py b/vunit/sim_if/__init__.py index 5596dbd3c..6623f72c2 100644 --- a/vunit/sim_if/__init__.py +++ b/vunit/sim_if/__init__.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Simulator interface(s) diff --git a/vunit/sim_if/_viewermixin.py b/vunit/sim_if/_viewermixin.py index fa0d50a21..f36ef039c 100644 --- a/vunit/sim_if/_viewermixin.py +++ b/vunit/sim_if/_viewermixin.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Viewer handling for GHDL and NVC. """ diff --git a/vunit/sim_if/activehdl.py b/vunit/sim_if/activehdl.py index 521b8cfae..6da294725 100644 --- a/vunit/sim_if/activehdl.py +++ b/vunit/sim_if/activehdl.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Interface towards Aldec Active HDL diff --git a/vunit/sim_if/cds_file.py b/vunit/sim_if/cds_file.py index bbc4ef3c7..5b158736d 100644 --- a/vunit/sim_if/cds_file.py +++ b/vunit/sim_if/cds_file.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Handles Cadence Incisive .cds files diff --git a/vunit/sim_if/common.py b/vunit/sim_if/common.py index 12ea98827..1427c8bb6 100644 --- a/vunit/sim_if/common.py +++ b/vunit/sim_if/common.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Common functions diff --git a/vunit/sim_if/factory.py b/vunit/sim_if/factory.py index 0fa9d0d4b..75a56172d 100644 --- a/vunit/sim_if/factory.py +++ b/vunit/sim_if/factory.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Create simulator instances diff --git a/vunit/sim_if/ghdl.py b/vunit/sim_if/ghdl.py index 4814fdb8d..fb7042a04 100644 --- a/vunit/sim_if/ghdl.py +++ b/vunit/sim_if/ghdl.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Interface for GHDL simulator diff --git a/vunit/sim_if/incisive.py b/vunit/sim_if/incisive.py index dabc438d5..9cf7bc02e 100644 --- a/vunit/sim_if/incisive.py +++ b/vunit/sim_if/incisive.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Interface for the Cadence Incisive simulator diff --git a/vunit/sim_if/modelsim.py b/vunit/sim_if/modelsim.py index 6e50b5427..7b5b4f3f1 100644 --- a/vunit/sim_if/modelsim.py +++ b/vunit/sim_if/modelsim.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Interface towards Mentor Graphics ModelSim diff --git a/vunit/sim_if/nvc.py b/vunit/sim_if/nvc.py index 724913935..532b55ad6 100644 --- a/vunit/sim_if/nvc.py +++ b/vunit/sim_if/nvc.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Interface for NVC simulator diff --git a/vunit/sim_if/rivierapro.py b/vunit/sim_if/rivierapro.py index f398d9bb9..c62f36820 100644 --- a/vunit/sim_if/rivierapro.py +++ b/vunit/sim_if/rivierapro.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Interface towards Aldec Riviera Pro diff --git a/vunit/sim_if/vsim_simulator_mixin.py b/vunit/sim_if/vsim_simulator_mixin.py index 8cc127bcf..f6b01e161 100644 --- a/vunit/sim_if/vsim_simulator_mixin.py +++ b/vunit/sim_if/vsim_simulator_mixin.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Shared simulation logic between vsim based simulators such as ModelSim diff --git a/vunit/source_file.py b/vunit/source_file.py index 75ddea0f1..289d68f41 100644 --- a/vunit/source_file.py +++ b/vunit/source_file.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Functionality to represent and operate on VHDL and Verilog source files diff --git a/vunit/test/__init__.py b/vunit/test/__init__.py index 3b3b7b1bf..a11332e19 100644 --- a/vunit/test/__init__.py +++ b/vunit/test/__init__.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Test bench, runner, etc. diff --git a/vunit/test/bench.py b/vunit/test/bench.py index 004df5c1b..95f208d5e 100644 --- a/vunit/test/bench.py +++ b/vunit/test/bench.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Contains classes to represent a test bench and test cases diff --git a/vunit/test/bench_list.py b/vunit/test/bench_list.py index 3b3aaf3a9..e80bdb277 100644 --- a/vunit/test/bench_list.py +++ b/vunit/test/bench_list.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Contains classes to manage the creation of test benches and runnable test cases thereof diff --git a/vunit/test/list.py b/vunit/test/list.py index 2672cdf1c..9c24a1565 100644 --- a/vunit/test/list.py +++ b/vunit/test/list.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Functionality to handle lists of test suites and filtering of them diff --git a/vunit/test/report.py b/vunit/test/report.py index 0a54014ff..564c67a34 100644 --- a/vunit/test/report.py +++ b/vunit/test/report.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Provide test reporting functionality diff --git a/vunit/test/runner.py b/vunit/test/runner.py index 00bc47446..1ba7512c7 100644 --- a/vunit/test/runner.py +++ b/vunit/test/runner.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Provided functionality to run a suite of test in a robust way diff --git a/vunit/test/suites.py b/vunit/test/suites.py index b1c0c928d..c3d12dbe4 100644 --- a/vunit/test/suites.py +++ b/vunit/test/suites.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Contains different kinds of test suites diff --git a/vunit/ui/__init__.py b/vunit/ui/__init__.py index ad8ccd413..5bda79e59 100644 --- a/vunit/ui/__init__.py +++ b/vunit/ui/__init__.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-lines diff --git a/vunit/ui/common.py b/vunit/ui/common.py index 3ba87335a..4f30c0d56 100644 --- a/vunit/ui/common.py +++ b/vunit/ui/common.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI common functions diff --git a/vunit/ui/library.py b/vunit/ui/library.py index 42047e295..1d4891b55 100644 --- a/vunit/ui/library.py +++ b/vunit/ui/library.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI classes Library and LibraryList diff --git a/vunit/ui/packagefacade.py b/vunit/ui/packagefacade.py index 7c6d6d04b..7b3026a4a 100644 --- a/vunit/ui/packagefacade.py +++ b/vunit/ui/packagefacade.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI class PackageFacade diff --git a/vunit/ui/preprocessor.py b/vunit/ui/preprocessor.py index 0701a8dcb..bfcbf1bd4 100644 --- a/vunit/ui/preprocessor.py +++ b/vunit/ui/preprocessor.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Preprocessor base class. diff --git a/vunit/ui/results.py b/vunit/ui/results.py index ea2ddfd6b..1e431fcc1 100644 --- a/vunit/ui/results.py +++ b/vunit/ui/results.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI class Results diff --git a/vunit/ui/source.py b/vunit/ui/source.py index 18ab1268c..3b9ba2e0f 100644 --- a/vunit/ui/source.py +++ b/vunit/ui/source.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI classes SourceFile and SourceFileList diff --git a/vunit/ui/test.py b/vunit/ui/test.py index f644c1c82..002ea839f 100644 --- a/vunit/ui/test.py +++ b/vunit/ui/test.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI class Test diff --git a/vunit/ui/testbench.py b/vunit/ui/testbench.py index 3f4acc114..be71177cb 100644 --- a/vunit/ui/testbench.py +++ b/vunit/ui/testbench.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ UI class TestBench diff --git a/vunit/verilog/check/run.py b/vunit/verilog/check/run.py index 4e5e16730..253435e2e 100644 --- a/vunit/verilog/check/run.py +++ b/vunit/verilog/check/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/verilog/check/test/check_tb.sv b/vunit/verilog/check/test/check_tb.sv index 434bedcc6..f862aa0de 100644 --- a/vunit/verilog/check/test/check_tb.sv +++ b/vunit/verilog/check/test/check_tb.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com `timescale 10ns / 10ns `include "vunit_defines.svh" diff --git a/vunit/verilog/vunit_pkg.sv b/vunit/verilog/vunit_pkg.sv index 953fc6653..19264e92d 100644 --- a/vunit/verilog/vunit_pkg.sv +++ b/vunit/verilog/vunit_pkg.sv @@ -2,7 +2,7 @@ // License, v. 2.0. If a copy of the MPL was not distributed with this file, // You can obtain one at http://mozilla.org/MPL/2.0/. // -// Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +// Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package vunit_pkg; diff --git a/vunit/version_check.py b/vunit/version_check.py index 1d10bdbc8..84efb6ff9 100644 --- a/vunit/version_check.py +++ b/vunit/version_check.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Check that the Python version used is supported by VUnit diff --git a/vunit/vhdl/check/run.py b/vunit/vhdl/check/run.py index a08fe510e..43e328bb6 100644 --- a/vunit/vhdl/check/run.py +++ b/vunit/vhdl/check/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from glob import glob diff --git a/vunit/vhdl/check/src/check-2008p.vhd b/vunit/vhdl/check/src/check-2008p.vhd index 7900b6ad3..d77091f41 100644 --- a/vunit/vhdl/check/src/check-2008p.vhd +++ b/vunit/vhdl/check/src/check-2008p.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/src/check.vhd b/vunit/vhdl/check/src/check.vhd index b2c9fd44b..1c24c8857 100644 --- a/vunit/vhdl/check/src/check.vhd +++ b/vunit/vhdl/check/src/check.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body check_pkg is type boolean_vector is array (natural range <>) of boolean; diff --git a/vunit/vhdl/check/src/check_api-2008p.vhd b/vunit/vhdl/check/src/check_api-2008p.vhd index 4b0d423f2..2ef35734c 100644 --- a/vunit/vhdl/check/src/check_api-2008p.vhd +++ b/vunit/vhdl/check/src/check_api-2008p.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/src/check_api.vhd b/vunit/vhdl/check/src/check_api.vhd index bedf49768..8dea4cc6b 100644 --- a/vunit/vhdl/check/src/check_api.vhd +++ b/vunit/vhdl/check/src/check_api.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/src/checker_pkg-body.vhd b/vunit/vhdl/check/src/checker_pkg-body.vhd index 6b9ec7ea3..85dda89f3 100644 --- a/vunit/vhdl/check/src/checker_pkg-body.vhd +++ b/vunit/vhdl/check/src/checker_pkg-body.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body checker_pkg is diff --git a/vunit/vhdl/check/src/checker_pkg.vhd b/vunit/vhdl/check/src/checker_pkg.vhd index 209f26ea0..8c03e7171 100644 --- a/vunit/vhdl/check/src/checker_pkg.vhd +++ b/vunit/vhdl/check/src/checker_pkg.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.log_levels_pkg.all; use work.logger_pkg.all; diff --git a/vunit/vhdl/check/test/tb_check.vhd b/vunit/vhdl/check/test/tb_check.vhd index 45e6f54ed..e0f5bc607 100644 --- a/vunit/vhdl/check/test/tb_check.vhd +++ b/vunit/vhdl/check/test/tb_check.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_equal-2008p.vhd b/vunit/vhdl/check/test/tb_check_equal-2008p.vhd index e25a6b0a6..5bc7d1b44 100644 --- a/vunit/vhdl/check/test/tb_check_equal-2008p.vhd +++ b/vunit/vhdl/check/test/tb_check_equal-2008p.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/test/tb_check_equal_real.vhd b/vunit/vhdl/check/test/tb_check_equal_real.vhd index d58d51a1a..f59b0e738 100644 --- a/vunit/vhdl/check/test/tb_check_equal_real.vhd +++ b/vunit/vhdl/check/test/tb_check_equal_real.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/test/tb_check_failed.vhd b/vunit/vhdl/check/test/tb_check_failed.vhd index c6e98b8dd..59b192af2 100644 --- a/vunit/vhdl/check/test/tb_check_failed.vhd +++ b/vunit/vhdl/check/test/tb_check_failed.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_false.vhd b/vunit/vhdl/check/test/tb_check_false.vhd index 3dbef4081..408ec9c11 100644 --- a/vunit/vhdl/check/test/tb_check_false.vhd +++ b/vunit/vhdl/check/test/tb_check_false.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_implication.vhd b/vunit/vhdl/check/test/tb_check_implication.vhd index b82505cbd..fd85f0393 100644 --- a/vunit/vhdl/check/test/tb_check_implication.vhd +++ b/vunit/vhdl/check/test/tb_check_implication.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_next.vhd b/vunit/vhdl/check/test/tb_check_next.vhd index 34e9d3907..ae4f7f306 100644 --- a/vunit/vhdl/check/test/tb_check_next.vhd +++ b/vunit/vhdl/check/test/tb_check_next.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_not_unknown.vhd b/vunit/vhdl/check/test/tb_check_not_unknown.vhd index 0813d7285..86eaafc69 100644 --- a/vunit/vhdl/check/test/tb_check_not_unknown.vhd +++ b/vunit/vhdl/check/test/tb_check_not_unknown.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_one_hot.vhd b/vunit/vhdl/check/test/tb_check_one_hot.vhd index d7e977f65..219b6262f 100644 --- a/vunit/vhdl/check/test/tb_check_one_hot.vhd +++ b/vunit/vhdl/check/test/tb_check_one_hot.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_passed.vhd b/vunit/vhdl/check/test/tb_check_passed.vhd index 19c0fecbb..9c3c7b1c7 100644 --- a/vunit/vhdl/check/test/tb_check_passed.vhd +++ b/vunit/vhdl/check/test/tb_check_passed.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_relation.vhd b/vunit/vhdl/check/test/tb_check_relation.vhd index 5d915a030..95cff6875 100644 --- a/vunit/vhdl/check/test/tb_check_relation.vhd +++ b/vunit/vhdl/check/test/tb_check_relation.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_relation_2008p.vhd b/vunit/vhdl/check/test/tb_check_relation_2008p.vhd index 4575c3f83..f57085806 100644 --- a/vunit/vhdl/check/test/tb_check_relation_2008p.vhd +++ b/vunit/vhdl/check/test/tb_check_relation_2008p.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_sequence.vhd b/vunit/vhdl/check/test/tb_check_sequence.vhd index b962531a1..40472a28e 100644 --- a/vunit/vhdl/check/test/tb_check_sequence.vhd +++ b/vunit/vhdl/check/test/tb_check_sequence.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_stable.vhd b/vunit/vhdl/check/test/tb_check_stable.vhd index bfa8202ce..7faa9f055 100644 --- a/vunit/vhdl/check/test/tb_check_stable.vhd +++ b/vunit/vhdl/check/test/tb_check_stable.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_check_zero_one_hot.vhd b/vunit/vhdl/check/test/tb_check_zero_one_hot.vhd index ec05229f0..ea63a8669 100644 --- a/vunit/vhdl/check/test/tb_check_zero_one_hot.vhd +++ b/vunit/vhdl/check/test/tb_check_zero_one_hot.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/tb_checker.vhd b/vunit/vhdl/check/test/tb_checker.vhd index 8faf5e649..baf2adc22 100644 --- a/vunit/vhdl/check/test/tb_checker.vhd +++ b/vunit/vhdl/check/test/tb_checker.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.string_ops.all; diff --git a/vunit/vhdl/check/test/tb_result.vhd b/vunit/vhdl/check/test/tb_result.vhd index 04013db51..40b543b4a 100644 --- a/vunit/vhdl/check/test/tb_result.vhd +++ b/vunit/vhdl/check/test/tb_result.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/check/test/test_support.vhd b/vunit/vhdl/check/test/test_support.vhd index 120de94c3..fb396ae4f 100644 --- a/vunit/vhdl/check/test/test_support.vhd +++ b/vunit/vhdl/check/test/test_support.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/tools/generate_check_equal.py b/vunit/vhdl/check/tools/generate_check_equal.py index 6fc2f470b..607d634ad 100644 --- a/vunit/vhdl/check/tools/generate_check_equal.py +++ b/vunit/vhdl/check/tools/generate_check_equal.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from string import Template @@ -732,7 +732,7 @@ def generate_test(): -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/tools/generate_check_equal_2008p.py b/vunit/vhdl/check/tools/generate_check_equal_2008p.py index 356b40887..e48a69ba3 100644 --- a/vunit/vhdl/check/tools/generate_check_equal_2008p.py +++ b/vunit/vhdl/check/tools/generate_check_equal_2008p.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from string import Template @@ -504,7 +504,7 @@ def generate_test(): -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/check/tools/generate_check_match.py b/vunit/vhdl/check/tools/generate_check_match.py index 1d14295f0..06dea23a7 100644 --- a/vunit/vhdl/check/tools/generate_check_match.py +++ b/vunit/vhdl/check/tools/generate_check_match.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from string import Template @@ -385,7 +385,7 @@ def generate_test(): -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/com/run.py b/vunit/vhdl/com/run.py index 1ef53df0d..47b5504b1 100644 --- a/vunit/vhdl/com/run.py +++ b/vunit/vhdl/com/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/vhdl/com/src/com.vhd b/vunit/vhdl/com/src/com.vhd index 933b8cd56..608398b31 100644 --- a/vunit/vhdl/com/src/com.vhd +++ b/vunit/vhdl/com/src/com.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/com/src/com_api.vhd b/vunit/vhdl/com/src/com_api.vhd index e4ff994d0..66c7572bb 100644 --- a/vunit/vhdl/com/src/com_api.vhd +++ b/vunit/vhdl/com/src/com_api.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/com/src/com_common.vhd b/vunit/vhdl/com/src/com_common.vhd index 047af3a51..2b4317b9f 100644 --- a/vunit/vhdl/com/src/com_common.vhd +++ b/vunit/vhdl/com/src/com_common.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/com/src/com_context.vhd b/vunit/vhdl/com/src/com_context.vhd index 628eb3368..84a7b0947 100644 --- a/vunit/vhdl/com/src/com_context.vhd +++ b/vunit/vhdl/com/src/com_context.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com context com_context is library vunit_lib; diff --git a/vunit/vhdl/com/src/com_debug_codec_builder.vhd b/vunit/vhdl/com/src/com_debug_codec_builder.vhd index 4a7e960ea..fe4298f37 100644 --- a/vunit/vhdl/com/src/com_debug_codec_builder.vhd +++ b/vunit/vhdl/com/src/com_debug_codec_builder.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/com/src/com_deprecated.vhd b/vunit/vhdl/com/src/com_deprecated.vhd index bb02b5b8f..d82f70714 100644 --- a/vunit/vhdl/com/src/com_deprecated.vhd +++ b/vunit/vhdl/com/src/com_deprecated.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/com/src/com_messenger.vhd b/vunit/vhdl/com/src/com_messenger.vhd index 7c15f93b9..d80f4a180 100644 --- a/vunit/vhdl/com/src/com_messenger.vhd +++ b/vunit/vhdl/com/src/com_messenger.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.com_types_pkg.all; use work.com_support_pkg.all; diff --git a/vunit/vhdl/com/src/com_string.vhd b/vunit/vhdl/com/src/com_string.vhd index e2b0325ca..c1e3f9f1e 100644 --- a/vunit/vhdl/com/src/com_string.vhd +++ b/vunit/vhdl/com/src/com_string.vhd @@ -10,7 +10,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; use ieee.math_complex.all; diff --git a/vunit/vhdl/com/src/com_support.vhd b/vunit/vhdl/com/src/com_support.vhd index 8a72ae92b..522c20ef6 100644 --- a/vunit/vhdl/com/src/com_support.vhd +++ b/vunit/vhdl/com/src/com_support.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.com_types_pkg.all; use work.logger_pkg.all; diff --git a/vunit/vhdl/com/src/com_types.vhd b/vunit/vhdl/com/src/com_types.vhd index 6bc4cbd36..5f5611548 100644 --- a/vunit/vhdl/com/src/com_types.vhd +++ b/vunit/vhdl/com/src/com_types.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/com/test/constants.vhd b/vunit/vhdl/com/test/constants.vhd index c60aa5531..5a769c034 100644 --- a/vunit/vhdl/com/test/constants.vhd +++ b/vunit/vhdl/com/test/constants.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package constants_pkg is constant byte_msb : natural := 7; diff --git a/vunit/vhdl/com/test/custom_types.vhd b/vunit/vhdl/com/test/custom_types.vhd index 10569fd43..11780004b 100644 --- a/vunit/vhdl/com/test/custom_types.vhd +++ b/vunit/vhdl/com/test/custom_types.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/vhdl/com/test/more_constants.vhd b/vunit/vhdl/com/test/more_constants.vhd index 3099ee669..de873f50f 100644 --- a/vunit/vhdl/com/test/more_constants.vhd +++ b/vunit/vhdl/com/test/more_constants.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package more_constants_pkg is constant byte_lsb : natural := 0; diff --git a/vunit/vhdl/com/test/tb_com.vhd b/vunit/vhdl/com/test/tb_com.vhd index cd216566b..c1babf118 100644 --- a/vunit/vhdl/com/test/tb_com.vhd +++ b/vunit/vhdl/com/test/tb_com.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/vhdl/com/test/tb_com_codec.vhd b/vunit/vhdl/com/test/tb_com_codec.vhd index 7125fec1a..d3e8cfddb 100644 --- a/vunit/vhdl/com/test/tb_com_codec.vhd +++ b/vunit/vhdl/com/test/tb_com_codec.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/com/test/tb_com_deprecated.vhd b/vunit/vhdl/com/test/tb_com_deprecated.vhd index 8ab6faf42..a00ce7f2e 100644 --- a/vunit/vhdl/com/test/tb_com_deprecated.vhd +++ b/vunit/vhdl/com/test/tb_com_deprecated.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/vhdl/com/test/tb_com_msg_building.vhd b/vunit/vhdl/com/test/tb_com_msg_building.vhd index f88b6305c..60eb3c025 100644 --- a/vunit/vhdl/com/test/tb_com_msg_building.vhd +++ b/vunit/vhdl/com/test/tb_com_msg_building.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/vhdl/compile_vunit_lib.py b/vunit/vhdl/compile_vunit_lib.py index 2edd8f0d0..f0512ba03 100644 --- a/vunit/vhdl/compile_vunit_lib.py +++ b/vunit/vhdl/compile_vunit_lib.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from vunit import VUnit diff --git a/vunit/vhdl/core/src/core_pkg.vhd b/vunit/vhdl/core/src/core_pkg.vhd index 11efa8a8a..443b31ef9 100644 --- a/vunit/vhdl/core/src/core_pkg.vhd +++ b/vunit/vhdl/core/src/core_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/core/src/stop_body_2008p.vhd b/vunit/vhdl/core/src/stop_body_2008p.vhd index d09e4407c..2496c2d3c 100644 --- a/vunit/vhdl/core/src/stop_body_2008p.vhd +++ b/vunit/vhdl/core/src/stop_body_2008p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body stop_pkg is procedure stop(status : integer) is diff --git a/vunit/vhdl/core/src/stop_body_93-2002.vhd b/vunit/vhdl/core/src/stop_body_93-2002.vhd index b5142d52a..ba074cac3 100644 --- a/vunit/vhdl/core/src/stop_body_93-2002.vhd +++ b/vunit/vhdl/core/src/stop_body_93-2002.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body stop_pkg is procedure stop(status : integer) is diff --git a/vunit/vhdl/core/src/stop_pkg.vhd b/vunit/vhdl/core/src/stop_pkg.vhd index be74893f5..fa6905d36 100644 --- a/vunit/vhdl/core/src/stop_pkg.vhd +++ b/vunit/vhdl/core/src/stop_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package stop_pkg is procedure stop(status : integer); diff --git a/vunit/vhdl/data_types/run.py b/vunit/vhdl/data_types/run.py index 079274601..5bda76165 100644 --- a/vunit/vhdl/data_types/run.py +++ b/vunit/vhdl/data_types/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from glob import glob diff --git a/vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd b/vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd index 8cae1038a..ddbca2a0d 100644 --- a/vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd +++ b/vunit/vhdl/data_types/src/api/external_integer_vector_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.types_pkg.all; diff --git a/vunit/vhdl/data_types/src/api/external_string_pkg.vhd b/vunit/vhdl/data_types/src/api/external_string_pkg.vhd index 5c32472ee..184b6e42f 100644 --- a/vunit/vhdl/data_types/src/api/external_string_pkg.vhd +++ b/vunit/vhdl/data_types/src/api/external_string_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.types_pkg.all; diff --git a/vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd b/vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd index cca326d90..0728cb103 100644 --- a/vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd +++ b/vunit/vhdl/data_types/src/byte_vector_ptr_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- The purpose of this package is to provide a byte vector access type (pointer) -- that can itself be used in arrays and returned from functions unlike a diff --git a/vunit/vhdl/data_types/src/codec-2008p.vhd b/vunit/vhdl/data_types/src/codec-2008p.vhd index 00adf4788..0a6de2335 100644 --- a/vunit/vhdl/data_types/src/codec-2008p.vhd +++ b/vunit/vhdl/data_types/src/codec-2008p.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/src/codec.vhd b/vunit/vhdl/data_types/src/codec.vhd index 4fe5da33e..4ca7ddbc2 100644 --- a/vunit/vhdl/data_types/src/codec.vhd +++ b/vunit/vhdl/data_types/src/codec.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/src/codec_builder-2008p.vhd b/vunit/vhdl/data_types/src/codec_builder-2008p.vhd index 32611a20f..2ece06da4 100644 --- a/vunit/vhdl/data_types/src/codec_builder-2008p.vhd +++ b/vunit/vhdl/data_types/src/codec_builder-2008p.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/src/codec_builder.vhd b/vunit/vhdl/data_types/src/codec_builder.vhd index df62f0e88..ffa62bd1c 100644 --- a/vunit/vhdl/data_types/src/codec_builder.vhd +++ b/vunit/vhdl/data_types/src/codec_builder.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/src/data_types_context.vhd b/vunit/vhdl/data_types/src/data_types_context.vhd index 9757ed7d5..b825db34f 100644 --- a/vunit/vhdl/data_types/src/data_types_context.vhd +++ b/vunit/vhdl/data_types/src/data_types_context.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com context data_types_context is library vunit_lib; diff --git a/vunit/vhdl/data_types/src/data_types_private_pkg.vhd b/vunit/vhdl/data_types/src/data_types_private_pkg.vhd index a313145da..c5e674bc9 100644 --- a/vunit/vhdl/data_types/src/data_types_private_pkg.vhd +++ b/vunit/vhdl/data_types/src/data_types_private_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.string_ops.all; diff --git a/vunit/vhdl/data_types/src/dict_pkg-2008p.vhd b/vunit/vhdl/data_types/src/dict_pkg-2008p.vhd index e5bf8e2d2..b1cd93832 100644 --- a/vunit/vhdl/data_types/src/dict_pkg-2008p.vhd +++ b/vunit/vhdl/data_types/src/dict_pkg-2008p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.fixed_pkg.all; diff --git a/vunit/vhdl/data_types/src/dict_pkg-body.vhd b/vunit/vhdl/data_types/src/dict_pkg-body.vhd index f6102a2ea..ea6e2926c 100644 --- a/vunit/vhdl/data_types/src/dict_pkg-body.vhd +++ b/vunit/vhdl/data_types/src/dict_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body dict_pkg is constant int_pool : integer_vector_ptr_pool_t := new_integer_vector_ptr_pool; diff --git a/vunit/vhdl/data_types/src/dict_pkg.vhd b/vunit/vhdl/data_types/src/dict_pkg.vhd index 3be5164e9..57dc0e4a0 100644 --- a/vunit/vhdl/data_types/src/dict_pkg.vhd +++ b/vunit/vhdl/data_types/src/dict_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- dict_pkg provides the dict_t data type which is an dynamic dictionary implementation. -- All dict keys are strings but the values can be of many different data types and a single diff --git a/vunit/vhdl/data_types/src/event_common_pkg.vhd b/vunit/vhdl/data_types/src/event_common_pkg.vhd index f593fead4..37af7b4f7 100644 --- a/vunit/vhdl/data_types/src/event_common_pkg.vhd +++ b/vunit/vhdl/data_types/src/event_common_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- This packages provides functionality common to both user events and the -- basic events used within the VUnit implementation. diff --git a/vunit/vhdl/data_types/src/event_pkg.vhd b/vunit/vhdl/data_types/src/event_pkg.vhd index 83bfaf218..1ccaa89d5 100644 --- a/vunit/vhdl/data_types/src/event_pkg.vhd +++ b/vunit/vhdl/data_types/src/event_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- This package, together with event_common_pkg, provides a user event -- notification system. diff --git a/vunit/vhdl/data_types/src/event_private_pkg.vhd b/vunit/vhdl/data_types/src/event_private_pkg.vhd index 549502ce6..3ae45879d 100644 --- a/vunit/vhdl/data_types/src/event_private_pkg.vhd +++ b/vunit/vhdl/data_types/src/event_private_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- This packages provides a basic event notification system only to be used within VUnit -- at places where the number of external package dependencies should be kept low. diff --git a/vunit/vhdl/data_types/src/id_pkg.vhd b/vunit/vhdl/data_types/src/id_pkg.vhd index d92c0a982..61483dc5b 100644 --- a/vunit/vhdl/data_types/src/id_pkg.vhd +++ b/vunit/vhdl/data_types/src/id_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: id_pkg provides a way of creating a hierarchical -- structure of named objects in a testbench. For an overview see diff --git a/vunit/vhdl/data_types/src/integer_array_pkg-body.vhd b/vunit/vhdl/data_types/src/integer_array_pkg-body.vhd index 2a23e00a9..7eadedc5f 100644 --- a/vunit/vhdl/data_types/src/integer_array_pkg-body.vhd +++ b/vunit/vhdl/data_types/src/integer_array_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body integer_array_pkg is type binary_file_t is file of character; diff --git a/vunit/vhdl/data_types/src/integer_array_pkg.vhd b/vunit/vhdl/data_types/src/integer_array_pkg.vhd index 87fce6caf..13b4784aa 100644 --- a/vunit/vhdl/data_types/src/integer_array_pkg.vhd +++ b/vunit/vhdl/data_types/src/integer_array_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd b/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd index 7a4e4c461..e307d1ca3 100644 --- a/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd +++ b/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-2002p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body integer_vector_ptr_pkg is type prot_storage_t is protected diff --git a/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd b/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd index 14c40b349..d7e7bf7a9 100644 --- a/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd +++ b/vunit/vhdl/data_types/src/integer_vector_ptr_pkg-body-93.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body integer_vector_ptr_pkg is type storage_t is record diff --git a/vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd b/vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd index d64640109..165f95566 100644 --- a/vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd +++ b/vunit/vhdl/data_types/src/integer_vector_ptr_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- The purpose of this package is to provide an integer vector access type (pointer) -- that can itself be used in arrays and returned from functions unlike a diff --git a/vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd b/vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd index c4485c0bc..e47ce5db7 100644 --- a/vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd +++ b/vunit/vhdl/data_types/src/integer_vector_ptr_pool_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/src/queue_pkg-2008p.vhd b/vunit/vhdl/data_types/src/queue_pkg-2008p.vhd index 3c688b2b3..6ddb4745b 100644 --- a/vunit/vhdl/data_types/src/queue_pkg-2008p.vhd +++ b/vunit/vhdl/data_types/src/queue_pkg-2008p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.fixed_pkg.all; diff --git a/vunit/vhdl/data_types/src/queue_pkg-body.vhd b/vunit/vhdl/data_types/src/queue_pkg-body.vhd index 23bf5871a..b870646fc 100644 --- a/vunit/vhdl/data_types/src/queue_pkg-body.vhd +++ b/vunit/vhdl/data_types/src/queue_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body queue_pkg is constant tail_idx : natural := 0; diff --git a/vunit/vhdl/data_types/src/queue_pkg.vhd b/vunit/vhdl/data_types/src/queue_pkg.vhd index 794ccafd8..d95baf332 100644 --- a/vunit/vhdl/data_types/src/queue_pkg.vhd +++ b/vunit/vhdl/data_types/src/queue_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.math_complex.all; diff --git a/vunit/vhdl/data_types/src/queue_pool_pkg.vhd b/vunit/vhdl/data_types/src/queue_pool_pkg.vhd index 948c33738..2f3f2390d 100644 --- a/vunit/vhdl/data_types/src/queue_pool_pkg.vhd +++ b/vunit/vhdl/data_types/src/queue_pool_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.integer_vector_ptr_pool_pkg.all; use work.string_ptr_pool_pkg.all; diff --git a/vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd b/vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd index 8d37498c6..c4e5bee42 100644 --- a/vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd +++ b/vunit/vhdl/data_types/src/string_ptr_pkg-body-2002p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body string_ptr_pkg is type prot_storage_t is protected diff --git a/vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd b/vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd index daabf7e4a..491b53b86 100644 --- a/vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd +++ b/vunit/vhdl/data_types/src/string_ptr_pkg-body-93.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body string_ptr_pkg is type storage_t is record diff --git a/vunit/vhdl/data_types/src/string_ptr_pkg.vhd b/vunit/vhdl/data_types/src/string_ptr_pkg.vhd index 9c325c8fc..890e88733 100644 --- a/vunit/vhdl/data_types/src/string_ptr_pkg.vhd +++ b/vunit/vhdl/data_types/src/string_ptr_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- The purpose of this package is to provide an string access type (pointer) -- that can itself be used in arrays and returned from functions unlike a diff --git a/vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd b/vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd index 832f648d2..6e19c8378 100644 --- a/vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd +++ b/vunit/vhdl/data_types/src/string_ptr_pool_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/src/types.vhd b/vunit/vhdl/data_types/src/types.vhd index e52cc891a..2bfdf4828 100644 --- a/vunit/vhdl/data_types/src/types.vhd +++ b/vunit/vhdl/data_types/src/types.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package types_pkg is subtype index_t is integer range -1 to integer'high; diff --git a/vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd b/vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd index 9610d99b0..254136b40 100644 --- a/vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd +++ b/vunit/vhdl/data_types/test/tb_byte_vector_ptr.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; --context vunit_lib.vunit_context; diff --git a/vunit/vhdl/data_types/test/tb_codec-2008p.vhd b/vunit/vhdl/data_types/test/tb_codec-2008p.vhd index 547c4f7da..201b40d3e 100644 --- a/vunit/vhdl/data_types/test/tb_codec-2008p.vhd +++ b/vunit/vhdl/data_types/test/tb_codec-2008p.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/data_types/test/tb_codec.vhd b/vunit/vhdl/data_types/test/tb_codec.vhd index 03987a84c..545a6a646 100644 --- a/vunit/vhdl/data_types/test/tb_codec.vhd +++ b/vunit/vhdl/data_types/test/tb_codec.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/data_types/test/tb_dict-2008p.vhd b/vunit/vhdl/data_types/test/tb_dict-2008p.vhd index 7ba2a683a..c30eb7d24 100644 --- a/vunit/vhdl/data_types/test/tb_dict-2008p.vhd +++ b/vunit/vhdl/data_types/test/tb_dict-2008p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.check_pkg.all; diff --git a/vunit/vhdl/data_types/test/tb_dict.vhd b/vunit/vhdl/data_types/test/tb_dict.vhd index 0c2316ce4..cdaeae6ee 100644 --- a/vunit/vhdl/data_types/test/tb_dict.vhd +++ b/vunit/vhdl/data_types/test/tb_dict.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.check_pkg.all; diff --git a/vunit/vhdl/data_types/test/tb_event_pkg.vhd b/vunit/vhdl/data_types/test/tb_event_pkg.vhd index 07c476ac7..f2c2f374c 100644 --- a/vunit/vhdl/data_types/test/tb_event_pkg.vhd +++ b/vunit/vhdl/data_types/test/tb_event_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/data_types/test/tb_event_private_pkg.vhd b/vunit/vhdl/data_types/test/tb_event_private_pkg.vhd index e14b3e055..baf94f9de 100644 --- a/vunit/vhdl/data_types/test/tb_event_private_pkg.vhd +++ b/vunit/vhdl/data_types/test/tb_event_private_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/data_types/test/tb_id.vhd b/vunit/vhdl/data_types/test/tb_id.vhd index bb282b0ff..14c9758bb 100644 --- a/vunit/vhdl/data_types/test/tb_id.vhd +++ b/vunit/vhdl/data_types/test/tb_id.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/data_types/test/tb_integer_array.vhd b/vunit/vhdl/data_types/test/tb_integer_array.vhd index 83c9eb4db..e08919f16 100644 --- a/vunit/vhdl/data_types/test/tb_integer_array.vhd +++ b/vunit/vhdl/data_types/test/tb_integer_array.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- @TODO add explicit check of csv string data diff --git a/vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd b/vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd index 9b64daba3..0bfc9f851 100644 --- a/vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd +++ b/vunit/vhdl/data_types/test/tb_integer_vector_ptr.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; --context vunit_lib.vunit_context; diff --git a/vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd b/vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd index d092b7350..06dcd7188 100644 --- a/vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd +++ b/vunit/vhdl/data_types/test/tb_integer_vector_ptr_pool.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; --context vunit_lib.vunit_context; diff --git a/vunit/vhdl/data_types/test/tb_queue-2008p.vhd b/vunit/vhdl/data_types/test/tb_queue-2008p.vhd index f349d9ceb..f1399a322 100644 --- a/vunit/vhdl/data_types/test/tb_queue-2008p.vhd +++ b/vunit/vhdl/data_types/test/tb_queue-2008p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.fixed_pkg.all; diff --git a/vunit/vhdl/data_types/test/tb_queue.vhd b/vunit/vhdl/data_types/test/tb_queue.vhd index 7ec705feb..5e7e2e3b0 100644 --- a/vunit/vhdl/data_types/test/tb_queue.vhd +++ b/vunit/vhdl/data_types/test/tb_queue.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/data_types/test/tb_queue_pool.vhd b/vunit/vhdl/data_types/test/tb_queue_pool.vhd index 9bf736218..c4e9ce8a3 100644 --- a/vunit/vhdl/data_types/test/tb_queue_pool.vhd +++ b/vunit/vhdl/data_types/test/tb_queue_pool.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; --context vunit_lib.vunit_context; diff --git a/vunit/vhdl/data_types/test/tb_string_ptr.vhd b/vunit/vhdl/data_types/test/tb_string_ptr.vhd index f93498234..5aa4c6ff7 100644 --- a/vunit/vhdl/data_types/test/tb_string_ptr.vhd +++ b/vunit/vhdl/data_types/test/tb_string_ptr.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; --context vunit_lib.vunit_context; diff --git a/vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd b/vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd index 8a512d7a1..0462e2758 100644 --- a/vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd +++ b/vunit/vhdl/data_types/test/tb_string_ptr_pool.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; --context vunit_lib.vunit_context; diff --git a/vunit/vhdl/data_types/tools/generate_dict.py b/vunit/vhdl/data_types/tools/generate_dict.py index d9ceac027..910a16867 100644 --- a/vunit/vhdl/data_types/tools/generate_dict.py +++ b/vunit/vhdl/data_types/tools/generate_dict.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from string import Template diff --git a/vunit/vhdl/dictionary/run.py b/vunit/vhdl/dictionary/run.py index 5a02776dc..411ce4dca 100644 --- a/vunit/vhdl/dictionary/run.py +++ b/vunit/vhdl/dictionary/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/vhdl/dictionary/src/dictionary.vhd b/vunit/vhdl/dictionary/src/dictionary.vhd index 8d3cf6f7b..c16c3c9de 100644 --- a/vunit/vhdl/dictionary/src/dictionary.vhd +++ b/vunit/vhdl/dictionary/src/dictionary.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/dictionary/test/tb_dictionary.vhd b/vunit/vhdl/dictionary/test/tb_dictionary.vhd index 4566d701f..94f70d125 100644 --- a/vunit/vhdl/dictionary/test/tb_dictionary.vhd +++ b/vunit/vhdl/dictionary/test/tb_dictionary.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.log_levels_pkg.all; diff --git a/vunit/vhdl/logging/run.py b/vunit/vhdl/logging/run.py index f686c1af2..e7af00a0c 100644 --- a/vunit/vhdl/logging/run.py +++ b/vunit/vhdl/logging/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from os import getenv import glob diff --git a/vunit/vhdl/logging/src/ansi_pkg.vhd b/vunit/vhdl/logging/src/ansi_pkg.vhd index 7cb45f441..6a82e9cbe 100644 --- a/vunit/vhdl/logging/src/ansi_pkg.vhd +++ b/vunit/vhdl/logging/src/ansi_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; use work.string_ptr_pkg.all; diff --git a/vunit/vhdl/logging/src/common_log_pkg-body.vhd b/vunit/vhdl/logging/src/common_log_pkg-body.vhd index dd3e9d14d..c7e655695 100644 --- a/vunit/vhdl/logging/src/common_log_pkg-body.vhd +++ b/vunit/vhdl/logging/src/common_log_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.math_real.all; diff --git a/vunit/vhdl/logging/src/common_log_pkg.vhd b/vunit/vhdl/logging/src/common_log_pkg.vhd index a7e6d7439..6e44acfaf 100644 --- a/vunit/vhdl/logging/src/common_log_pkg.vhd +++ b/vunit/vhdl/logging/src/common_log_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/logging/src/file_pkg.vhd b/vunit/vhdl/logging/src/file_pkg.vhd index b209b207f..fa1d0571b 100644 --- a/vunit/vhdl/logging/src/file_pkg.vhd +++ b/vunit/vhdl/logging/src/file_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/logging/src/location_pkg-body-2008m.vhd b/vunit/vhdl/logging/src/location_pkg-body-2008m.vhd index 2b2d40d26..7cfbd77b7 100644 --- a/vunit/vhdl/logging/src/location_pkg-body-2008m.vhd +++ b/vunit/vhdl/logging/src/location_pkg-body-2008m.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body location_pkg is impure function get_location(path_offset, line_num : natural; file_name : string) return location_t is diff --git a/vunit/vhdl/logging/src/location_pkg-body-2019p.vhd b/vunit/vhdl/logging/src/location_pkg-body-2019p.vhd index bf6c40f42..9fb33f7db 100644 --- a/vunit/vhdl/logging/src/location_pkg-body-2019p.vhd +++ b/vunit/vhdl/logging/src/location_pkg-body-2019p.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.env.all; use std.textio.all; diff --git a/vunit/vhdl/logging/src/location_pkg.vhd b/vunit/vhdl/logging/src/location_pkg.vhd index 453c34d6c..e042a6599 100644 --- a/vunit/vhdl/logging/src/location_pkg.vhd +++ b/vunit/vhdl/logging/src/location_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; package location_pkg is diff --git a/vunit/vhdl/logging/src/log_handler_pkg-body.vhd b/vunit/vhdl/logging/src/log_handler_pkg-body.vhd index 6f1fa708b..6e416984a 100644 --- a/vunit/vhdl/logging/src/log_handler_pkg-body.vhd +++ b/vunit/vhdl/logging/src/log_handler_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body log_handler_pkg is -- Questa will issue warnings if the code contains time units lower than diff --git a/vunit/vhdl/logging/src/log_handler_pkg.vhd b/vunit/vhdl/logging/src/log_handler_pkg.vhd index c82c7a477..f4894279d 100644 --- a/vunit/vhdl/logging/src/log_handler_pkg.vhd +++ b/vunit/vhdl/logging/src/log_handler_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/logging/src/log_levels_pkg-body.vhd b/vunit/vhdl/logging/src/log_levels_pkg-body.vhd index 8ea6082d5..f6e240678 100644 --- a/vunit/vhdl/logging/src/log_levels_pkg-body.vhd +++ b/vunit/vhdl/logging/src/log_levels_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body log_levels_pkg is diff --git a/vunit/vhdl/logging/src/log_levels_pkg.vhd b/vunit/vhdl/logging/src/log_levels_pkg.vhd index 6cacf609d..8b910a388 100644 --- a/vunit/vhdl/logging/src/log_levels_pkg.vhd +++ b/vunit/vhdl/logging/src/log_levels_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.ansi_pkg.all; use work.core_pkg.all; diff --git a/vunit/vhdl/logging/src/logger_pkg-body.vhd b/vunit/vhdl/logging/src/logger_pkg-body.vhd index 2e2456b71..d32008d8b 100644 --- a/vunit/vhdl/logging/src/logger_pkg-body.vhd +++ b/vunit/vhdl/logging/src/logger_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body logger_pkg is constant global_log_count : integer_vector_ptr_t := new_integer_vector_ptr(1, value => 0); diff --git a/vunit/vhdl/logging/src/logger_pkg.vhd b/vunit/vhdl/logging/src/logger_pkg.vhd index 9e2660137..149cd3b5c 100644 --- a/vunit/vhdl/logging/src/logger_pkg.vhd +++ b/vunit/vhdl/logging/src/logger_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/logging/src/print_pkg-body.vhd b/vunit/vhdl/logging/src/print_pkg-body.vhd index 7310a55a0..9a1226df7 100644 --- a/vunit/vhdl/logging/src/print_pkg-body.vhd +++ b/vunit/vhdl/logging/src/print_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body print_pkg is diff --git a/vunit/vhdl/logging/src/print_pkg.vhd b/vunit/vhdl/logging/src/print_pkg.vhd index 220d17121..1d0f216f0 100644 --- a/vunit/vhdl/logging/src/print_pkg.vhd +++ b/vunit/vhdl/logging/src/print_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/logging/test/tb_location.vhd b/vunit/vhdl/logging/test/tb_location.vhd index 837abda08..94b9ab57a 100644 --- a/vunit/vhdl/logging/test/tb_location.vhd +++ b/vunit/vhdl/logging/test/tb_location.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/logging/test/tb_log.vhd b/vunit/vhdl/logging/test/tb_log.vhd index 08c5b77bf..917593fe4 100644 --- a/vunit/vhdl/logging/test/tb_log.vhd +++ b/vunit/vhdl/logging/test/tb_log.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; diff --git a/vunit/vhdl/logging/test/tb_log_levels.vhd b/vunit/vhdl/logging/test/tb_log_levels.vhd index 5b988e7c4..2f381003e 100644 --- a/vunit/vhdl/logging/test/tb_log_levels.vhd +++ b/vunit/vhdl/logging/test/tb_log_levels.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/logging/test/tb_sim_time_formatting.vhd b/vunit/vhdl/logging/test/tb_sim_time_formatting.vhd index fef519e59..2559e30c6 100644 --- a/vunit/vhdl/logging/test/tb_sim_time_formatting.vhd +++ b/vunit/vhdl/logging/test/tb_sim_time_formatting.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/logging/test/test_support_pkg.vhd b/vunit/vhdl/logging/test/test_support_pkg.vhd index ebb9885ef..3497be72a 100644 --- a/vunit/vhdl/logging/test/test_support_pkg.vhd +++ b/vunit/vhdl/logging/test/test_support_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.log_levels_pkg.all; diff --git a/vunit/vhdl/path/run.py b/vunit/vhdl/path/run.py index 5a02776dc..411ce4dca 100644 --- a/vunit/vhdl/path/run.py +++ b/vunit/vhdl/path/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/vhdl/path/src/path.vhd b/vunit/vhdl/path/src/path.vhd index 64d24105e..76f0e598a 100644 --- a/vunit/vhdl/path/src/path.vhd +++ b/vunit/vhdl/path/src/path.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.string_ops.all; use std.textio.all; diff --git a/vunit/vhdl/path/test/tb_path.vhd b/vunit/vhdl/path/test/tb_path.vhd index 5100fbf5b..d935500a9 100644 --- a/vunit/vhdl/path/test/tb_path.vhd +++ b/vunit/vhdl/path/test/tb_path.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.run_pkg.all; diff --git a/vunit/vhdl/random/run.py b/vunit/vhdl/random/run.py index 64aa08cf6..17c9648ca 100644 --- a/vunit/vhdl/random/run.py +++ b/vunit/vhdl/random/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/vhdl/random/src/random_pkg.vhd b/vunit/vhdl/random/src/random_pkg.vhd index 0559f2f14..14a94d28e 100644 --- a/vunit/vhdl/random/src/random_pkg.vhd +++ b/vunit/vhdl/random/src/random_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Optional package that includes random functions for the data_types based on -- OSVVM diff --git a/vunit/vhdl/random/test/tb_random_pkg.vhd b/vunit/vhdl/random/test/tb_random_pkg.vhd index 4f47375f8..6700de7ef 100644 --- a/vunit/vhdl/random/test/tb_random_pkg.vhd +++ b/vunit/vhdl/random/test/tb_random_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/vhdl/run/run.py b/vunit/vhdl/run/run.py index c56419dcf..eafaacacf 100644 --- a/vunit/vhdl/run/run.py +++ b/vunit/vhdl/run/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/vhdl/run/src/run.vhd b/vunit/vhdl/run/src/run.vhd index 2c09df760..1ac149dbf 100644 --- a/vunit/vhdl/run/src/run.vhd +++ b/vunit/vhdl/run/src/run.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.logger_pkg.all; use work.log_levels_pkg.all; diff --git a/vunit/vhdl/run/src/run_api.vhd b/vunit/vhdl/run/src/run_api.vhd index f20215315..06baa3939 100644 --- a/vunit/vhdl/run/src/run_api.vhd +++ b/vunit/vhdl/run/src/run_api.vhd @@ -5,7 +5,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.logger_pkg.all; use work.runner_pkg.all; diff --git a/vunit/vhdl/run/src/run_types.vhd b/vunit/vhdl/run/src/run_types.vhd index 84b15b350..f0fed061f 100644 --- a/vunit/vhdl/run/src/run_types.vhd +++ b/vunit/vhdl/run/src/run_types.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use std.textio.all; use work.dict_pkg.all; diff --git a/vunit/vhdl/run/src/runner_pkg.vhd b/vunit/vhdl/run/src/runner_pkg.vhd index 1c7d567a6..f5b4d06c4 100644 --- a/vunit/vhdl/run/src/runner_pkg.vhd +++ b/vunit/vhdl/run/src/runner_pkg.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.string_ptr_pkg.all; use work.string_ptr_pool_pkg.all; diff --git a/vunit/vhdl/run/test/run_tests.vhd b/vunit/vhdl/run/test/run_tests.vhd index 610021f04..304591b90 100644 --- a/vunit/vhdl/run/test/run_tests.vhd +++ b/vunit/vhdl/run/test/run_tests.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.string_ops.all; diff --git a/vunit/vhdl/run/test/tb_run.vhd b/vunit/vhdl/run/test/tb_run.vhd index 99e89daf1..cf515a465 100644 --- a/vunit/vhdl/run/test/tb_run.vhd +++ b/vunit/vhdl/run/test/tb_run.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com entity tb_run is generic ( diff --git a/vunit/vhdl/run/test/tb_watchdog.vhd b/vunit/vhdl/run/test/tb_watchdog.vhd index 921a73808..cfb4e10a2 100644 --- a/vunit/vhdl/run/test/tb_watchdog.vhd +++ b/vunit/vhdl/run/test/tb_watchdog.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; use vunit_lib.log_levels_pkg.all; diff --git a/vunit/vhdl/string_ops/run.py b/vunit/vhdl/string_ops/run.py index 5a02776dc..411ce4dca 100644 --- a/vunit/vhdl/string_ops/run.py +++ b/vunit/vhdl/string_ops/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/vunit/vhdl/string_ops/src/string_ops.vhd b/vunit/vhdl/string_ops/src/string_ops.vhd index f50a7b341..f60f29afc 100644 --- a/vunit/vhdl/string_ops/src/string_ops.vhd +++ b/vunit/vhdl/string_ops/src/string_ops.vhd @@ -4,7 +4,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/string_ops/test/tb_string_ops.vhd b/vunit/vhdl/string_ops/test/tb_string_ops.vhd index 398a4dd9d..c21f98d09 100644 --- a/vunit/vhdl/string_ops/test/tb_string_ops.vhd +++ b/vunit/vhdl/string_ops/test/tb_string_ops.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/verification_components/run.py b/vunit/vhdl/verification_components/run.py index bee9ae7f7..66178fca4 100644 --- a/vunit/vhdl/verification_components/run.py +++ b/vunit/vhdl/verification_components/run.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from itertools import product diff --git a/vunit/vhdl/verification_components/src/avalon_master.vhd b/vunit/vhdl/verification_components/src/avalon_master.vhd index ca83260e9..6ad6f2782 100644 --- a/vunit/vhdl/verification_components/src/avalon_master.vhd +++ b/vunit/vhdl/verification_components/src/avalon_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl -- Avalon Memory Mapped Master BFM -- TODO: diff --git a/vunit/vhdl/verification_components/src/avalon_pkg.vhd b/vunit/vhdl/verification_components/src/avalon_pkg.vhd index 7a99ff39d..4a5314e7b 100644 --- a/vunit/vhdl/verification_components/src/avalon_pkg.vhd +++ b/vunit/vhdl/verification_components/src/avalon_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/src/avalon_sink.vhd b/vunit/vhdl/verification_components/src/avalon_sink.vhd index 82ee78f46..6b0406c77 100644 --- a/vunit/vhdl/verification_components/src/avalon_sink.vhd +++ b/vunit/vhdl/verification_components/src/avalon_sink.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl -- Avalon-St Sink Verification Component -- TODO: diff --git a/vunit/vhdl/verification_components/src/avalon_slave.vhd b/vunit/vhdl/verification_components/src/avalon_slave.vhd index d1ee08ec8..a928574fc 100644 --- a/vunit/vhdl/verification_components/src/avalon_slave.vhd +++ b/vunit/vhdl/verification_components/src/avalon_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl -- -- Avalon memory mapped slave wrapper for Vunit memory VC diff --git a/vunit/vhdl/verification_components/src/avalon_source.vhd b/vunit/vhdl/verification_components/src/avalon_source.vhd index fb0126c02..f941e3204 100644 --- a/vunit/vhdl/verification_components/src/avalon_source.vhd +++ b/vunit/vhdl/verification_components/src/avalon_source.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl -- Avalon-St Source Verification Component diff --git a/vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd b/vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd index a15e5245f..d7b9612a8 100644 --- a/vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd +++ b/vunit/vhdl/verification_components/src/avalon_stream_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_lite_master.vhd b/vunit/vhdl/verification_components/src/axi_lite_master.vhd index 31cf604c0..2240e0b1a 100644 --- a/vunit/vhdl/verification_components/src/axi_lite_master.vhd +++ b/vunit/vhdl/verification_components/src/axi_lite_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; diff --git a/vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd b/vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd index f33e04dc8..4d87975e3 100644 --- a/vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_lite_master_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; diff --git a/vunit/vhdl/verification_components/src/axi_pkg.vhd b/vunit/vhdl/verification_components/src/axi_pkg.vhd index 6cbef5add..f40085416 100644 --- a/vunit/vhdl/verification_components/src/axi_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_read_slave.vhd b/vunit/vhdl/verification_components/src/axi_read_slave.vhd index 54c15e2a2..692b67eb7 100644 --- a/vunit/vhdl/verification_components/src/axi_read_slave.vhd +++ b/vunit/vhdl/verification_components/src/axi_read_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_slave_pkg.vhd b/vunit/vhdl/verification_components/src/axi_slave_pkg.vhd index d8c2aaa4b..3b370116a 100644 --- a/vunit/vhdl/verification_components/src/axi_slave_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_slave_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd b/vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd index 603984ed9..a36dcb4c6 100644 --- a/vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_slave_private_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Private support package for axi_{read, write}_slave.vhd diff --git a/vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd b/vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd index 057f2e765..c2af79c47 100644 --- a/vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_statistics_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com use work.axi_pkg.all; use work.integer_vector_ptr_pool_pkg.all; diff --git a/vunit/vhdl/verification_components/src/axi_stream_master.vhd b/vunit/vhdl/verification_components/src/axi_stream_master.vhd index 5363a4e58..87e2551c2 100644 --- a/vunit/vhdl/verification_components/src/axi_stream_master.vhd +++ b/vunit/vhdl/verification_components/src/axi_stream_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_stream_monitor.vhd b/vunit/vhdl/verification_components/src/axi_stream_monitor.vhd index c16fe14fc..08b0e8012 100644 --- a/vunit/vhdl/verification_components/src/axi_stream_monitor.vhd +++ b/vunit/vhdl/verification_components/src/axi_stream_monitor.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_stream_pkg.vhd b/vunit/vhdl/verification_components/src/axi_stream_pkg.vhd index e2158f354..26a6cd75d 100644 --- a/vunit/vhdl/verification_components/src/axi_stream_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_stream_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd b/vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd index d0ec978f5..3923ed4cb 100644 --- a/vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd +++ b/vunit/vhdl/verification_components/src/axi_stream_private_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd b/vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd index 01849f3df..9c3c05ed9 100644 --- a/vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd +++ b/vunit/vhdl/verification_components/src/axi_stream_protocol_checker.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_stream_slave.vhd b/vunit/vhdl/verification_components/src/axi_stream_slave.vhd index f14a302f2..fcb381649 100644 --- a/vunit/vhdl/verification_components/src/axi_stream_slave.vhd +++ b/vunit/vhdl/verification_components/src/axi_stream_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/axi_write_slave.vhd b/vunit/vhdl/verification_components/src/axi_write_slave.vhd index 29c2635e6..c3914e74b 100644 --- a/vunit/vhdl/verification_components/src/axi_write_slave.vhd +++ b/vunit/vhdl/verification_components/src/axi_write_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/bus2memory.vhd b/vunit/vhdl/verification_components/src/bus2memory.vhd index 4d60e6bff..7e89333a7 100644 --- a/vunit/vhdl/verification_components/src/bus2memory.vhd +++ b/vunit/vhdl/verification_components/src/bus2memory.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd b/vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd index e2300bbdf..badb61045 100644 --- a/vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd +++ b/vunit/vhdl/verification_components/src/bus_master_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body bus_master_pkg is diff --git a/vunit/vhdl/verification_components/src/bus_master_pkg.vhd b/vunit/vhdl/verification_components/src/bus_master_pkg.vhd index 64c236858..079188fcf 100644 --- a/vunit/vhdl/verification_components/src/bus_master_pkg.vhd +++ b/vunit/vhdl/verification_components/src/bus_master_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Defines bus master verification component interface diff --git a/vunit/vhdl/verification_components/src/memory_pkg-body.vhd b/vunit/vhdl/verification_components/src/memory_pkg-body.vhd index d28ad2502..d676209bd 100644 --- a/vunit/vhdl/verification_components/src/memory_pkg-body.vhd +++ b/vunit/vhdl/verification_components/src/memory_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body memory_pkg is diff --git a/vunit/vhdl/verification_components/src/memory_pkg.vhd b/vunit/vhdl/verification_components/src/memory_pkg.vhd index c51e1d8cd..37f6fd2df 100644 --- a/vunit/vhdl/verification_components/src/memory_pkg.vhd +++ b/vunit/vhdl/verification_components/src/memory_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Model of a memory address space diff --git a/vunit/vhdl/verification_components/src/memory_utils_pkg.vhd b/vunit/vhdl/verification_components/src/memory_utils_pkg.vhd index 13808238c..929d5d180 100644 --- a/vunit/vhdl/verification_components/src/memory_utils_pkg.vhd +++ b/vunit/vhdl/verification_components/src/memory_utils_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Utility functions to write data types to memory model diff --git a/vunit/vhdl/verification_components/src/ram_master.vhd b/vunit/vhdl/verification_components/src/ram_master.vhd index 08f245990..c45cde7f1 100644 --- a/vunit/vhdl/verification_components/src/ram_master.vhd +++ b/vunit/vhdl/verification_components/src/ram_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; diff --git a/vunit/vhdl/verification_components/src/signal_checker_pkg.vhd b/vunit/vhdl/verification_components/src/signal_checker_pkg.vhd index d4e389906..46c0f30ef 100644 --- a/vunit/vhdl/verification_components/src/signal_checker_pkg.vhd +++ b/vunit/vhdl/verification_components/src/signal_checker_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/std_logic_checker.vhd b/vunit/vhdl/verification_components/src/std_logic_checker.vhd index f205860c5..91012a281 100644 --- a/vunit/vhdl/verification_components/src/std_logic_checker.vhd +++ b/vunit/vhdl/verification_components/src/std_logic_checker.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd b/vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd index 928245fbf..dbaa5b98f 100644 --- a/vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd +++ b/vunit/vhdl/verification_components/src/stream_master_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body stream_master_pkg is impure function new_stream_master return stream_master_t is diff --git a/vunit/vhdl/verification_components/src/stream_master_pkg.vhd b/vunit/vhdl/verification_components/src/stream_master_pkg.vhd index 3dedf1e65..26c1545e4 100644 --- a/vunit/vhdl/verification_components/src/stream_master_pkg.vhd +++ b/vunit/vhdl/verification_components/src/stream_master_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Stream master verification component interface diff --git a/vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd b/vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd index 781f77e7d..413cb34d5 100644 --- a/vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd +++ b/vunit/vhdl/verification_components/src/stream_slave_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body stream_slave_pkg is impure function new_stream_slave return stream_slave_t is diff --git a/vunit/vhdl/verification_components/src/stream_slave_pkg.vhd b/vunit/vhdl/verification_components/src/stream_slave_pkg.vhd index af5637075..00c6a121c 100644 --- a/vunit/vhdl/verification_components/src/stream_slave_pkg.vhd +++ b/vunit/vhdl/verification_components/src/stream_slave_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Stream slave verification component interface diff --git a/vunit/vhdl/verification_components/src/sync_pkg-body.vhd b/vunit/vhdl/verification_components/src/sync_pkg-body.vhd index e1e787fae..c8053cb65 100644 --- a/vunit/vhdl/verification_components/src/sync_pkg-body.vhd +++ b/vunit/vhdl/verification_components/src/sync_pkg-body.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com package body sync_pkg is procedure wait_until_idle(signal net : inout network_t; diff --git a/vunit/vhdl/verification_components/src/sync_pkg.vhd b/vunit/vhdl/verification_components/src/sync_pkg.vhd index 283dff249..e554f54b5 100644 --- a/vunit/vhdl/verification_components/src/sync_pkg.vhd +++ b/vunit/vhdl/verification_components/src/sync_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Defines synchronization verification component interface VCI diff --git a/vunit/vhdl/verification_components/src/uart_master.vhd b/vunit/vhdl/verification_components/src/uart_master.vhd index 30aedac51..d3f1135db 100644 --- a/vunit/vhdl/verification_components/src/uart_master.vhd +++ b/vunit/vhdl/verification_components/src/uart_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/uart_pkg.vhd b/vunit/vhdl/verification_components/src/uart_pkg.vhd index cff33dd78..8f2038742 100644 --- a/vunit/vhdl/verification_components/src/uart_pkg.vhd +++ b/vunit/vhdl/verification_components/src/uart_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/uart_slave.vhd b/vunit/vhdl/verification_components/src/uart_slave.vhd index fc218624d..861a02be9 100644 --- a/vunit/vhdl/verification_components/src/uart_slave.vhd +++ b/vunit/vhdl/verification_components/src/uart_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/src/vc_context.vhd b/vunit/vhdl/verification_components/src/vc_context.vhd index 903083bbd..6f7e98bae 100644 --- a/vunit/vhdl/verification_components/src/vc_context.vhd +++ b/vunit/vhdl/verification_components/src/vc_context.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com context vc_context is library vunit_lib; diff --git a/vunit/vhdl/verification_components/src/vc_pkg.vhd b/vunit/vhdl/verification_components/src/vc_pkg.vhd index dd39ce993..e8334a03c 100644 --- a/vunit/vhdl/verification_components/src/vc_pkg.vhd +++ b/vunit/vhdl/verification_components/src/vc_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- -- This package contains common functionality for VCs. diff --git a/vunit/vhdl/verification_components/src/wishbone_master.vhd b/vunit/vhdl/verification_components/src/wishbone_master.vhd index 6885ccadb..a0b934d69 100644 --- a/vunit/vhdl/verification_components/src/wishbone_master.vhd +++ b/vunit/vhdl/verification_components/src/wishbone_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl -- Wishbome Master BFM for pipelined block transfers diff --git a/vunit/vhdl/verification_components/src/wishbone_pkg.vhd b/vunit/vhdl/verification_components/src/wishbone_pkg.vhd index 466920ca9..5ca9b2c0c 100644 --- a/vunit/vhdl/verification_components/src/wishbone_pkg.vhd +++ b/vunit/vhdl/verification_components/src/wishbone_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/src/wishbone_slave.vhd b/vunit/vhdl/verification_components/src/wishbone_slave.vhd index 321dc39e1..e5dfbb411 100644 --- a/vunit/vhdl/verification_components/src/wishbone_slave.vhd +++ b/vunit/vhdl/verification_components/src/wishbone_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl -- Wishbone slave wrapper for Vunit memory VC -- TODO: diff --git a/vunit/vhdl/verification_components/test/tb_avalon.vhd b/vunit/vhdl/verification_components/test/tb_avalon.vhd index 02723469f..9b66c657a 100644 --- a/vunit/vhdl/verification_components/test/tb_avalon.vhd +++ b/vunit/vhdl/verification_components/test/tb_avalon.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- This test bench is to reproduce issue with pop form empty queue in modelsim. library ieee; diff --git a/vunit/vhdl/verification_components/test/tb_avalon_master.vhd b/vunit/vhdl/verification_components/test/tb_avalon_master.vhd index cc6495fc5..49d303ea0 100644 --- a/vunit/vhdl/verification_components/test/tb_avalon_master.vhd +++ b/vunit/vhdl/verification_components/test/tb_avalon_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/test/tb_avalon_slave.vhd b/vunit/vhdl/verification_components/test/tb_avalon_slave.vhd index ca132ee16..a687ce0b4 100644 --- a/vunit/vhdl/verification_components/test/tb_avalon_slave.vhd +++ b/vunit/vhdl/verification_components/test/tb_avalon_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/test/tb_avalon_stream.vhd b/vunit/vhdl/verification_components/test/tb_avalon_stream.vhd index 7aca612ab..19efd9a1e 100644 --- a/vunit/vhdl/verification_components/test/tb_avalon_stream.vhd +++ b/vunit/vhdl/verification_components/test/tb_avalon_stream.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd b/vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd index df5486e83..11cced2cb 100644 --- a/vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_avalon_stream_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd b/vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd index 15eef7c45..e14feec7e 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_lite_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd b/vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd index 0528e14df..b2002e071 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_read_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd b/vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd index ae0a26814..4a8e2341d 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_slave_private_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd b/vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd index 36ef8b6c2..808d36b62 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_statistics_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- vunit: run_all_in_same_sim diff --git a/vunit/vhdl/verification_components/test/tb_axi_stream.vhd b/vunit/vhdl/verification_components/test/tb_axi_stream.vhd index a00b3c1c8..899aee853 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_stream.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_stream.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd b/vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd index d397e7213..b62107601 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_stream_protocol_checker.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd b/vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd index 1298d822f..49e81e5dd 100644 --- a/vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd +++ b/vunit/vhdl/verification_components/test/tb_axi_write_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd b/vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd index e4fbe329b..8f34f8ca2 100644 --- a/vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_bus_master_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_memory.vhd b/vunit/vhdl/verification_components/test/tb_memory.vhd index 865e75743..d03f6f910 100644 --- a/vunit/vhdl/verification_components/test/tb_memory.vhd +++ b/vunit/vhdl/verification_components/test/tb_memory.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd b/vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd index 04b4ff83d..5655c2244 100644 --- a/vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_memory_utils_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_ram_master.vhd b/vunit/vhdl/verification_components/test/tb_ram_master.vhd index 374b496a2..4958f7edc 100644 --- a/vunit/vhdl/verification_components/test/tb_ram_master.vhd +++ b/vunit/vhdl/verification_components/test/tb_ram_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd b/vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd index 3136f9729..bf42ca00b 100644 --- a/vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd +++ b/vunit/vhdl/verification_components/test/tb_std_logic_checker.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_sync_pkg.vhd b/vunit/vhdl/verification_components/test/tb_sync_pkg.vhd index 93fc473f7..c21e10ec9 100644 --- a/vunit/vhdl/verification_components/test/tb_sync_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_sync_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; diff --git a/vunit/vhdl/verification_components/test/tb_uart.vhd b/vunit/vhdl/verification_components/test/tb_uart.vhd index 9b4f60426..2f9f16a55 100644 --- a/vunit/vhdl/verification_components/test/tb_uart.vhd +++ b/vunit/vhdl/verification_components/test/tb_uart.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/vunit/vhdl/verification_components/test/tb_vc_pkg.vhd b/vunit/vhdl/verification_components/test/tb_vc_pkg.vhd index 1cbd45826..4570ce9a0 100644 --- a/vunit/vhdl/verification_components/test/tb_vc_pkg.vhd +++ b/vunit/vhdl/verification_components/test/tb_vc_pkg.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/vhdl/verification_components/test/tb_wishbone_master.vhd b/vunit/vhdl/verification_components/test/tb_wishbone_master.vhd index c9662345a..82fd2f54b 100644 --- a/vunit/vhdl/verification_components/test/tb_wishbone_master.vhd +++ b/vunit/vhdl/verification_components/test/tb_wishbone_master.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd b/vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd index 22805f9d1..b80f95dda 100644 --- a/vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd +++ b/vunit/vhdl/verification_components/test/tb_wishbone_slave.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com -- Author Slawomir Siluk slaweksiluk@gazeta.pl library ieee; diff --git a/vunit/vhdl/vunit_context.vhd b/vunit/vhdl/vunit_context.vhd index 5a1112b60..e215db326 100644 --- a/vunit/vhdl/vunit_context.vhd +++ b/vunit/vhdl/vunit_context.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com context vunit_context is library vunit_lib; diff --git a/vunit/vhdl/vunit_run_context.vhd b/vunit/vhdl/vunit_run_context.vhd index c93d30242..921ae7e52 100644 --- a/vunit/vhdl/vunit_run_context.vhd +++ b/vunit/vhdl/vunit_run_context.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com context vunit_run_context is library vunit_lib; diff --git a/vunit/vhdl_parser.py b/vunit/vhdl_parser.py index 218be4621..b68608c33 100644 --- a/vunit/vhdl_parser.py +++ b/vunit/vhdl_parser.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com # pylint: disable=too-many-lines diff --git a/vunit/vhdl_standard.py b/vunit/vhdl_standard.py index fca603fd1..3fd0547ff 100644 --- a/vunit/vhdl_standard.py +++ b/vunit/vhdl_standard.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Contains type defining VHDL standards and operations on them diff --git a/vunit/vivado/__init__.py b/vunit/vivado/__init__.py index 3779c1cd5..e26019e34 100644 --- a/vunit/vivado/__init__.py +++ b/vunit/vivado/__init__.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Utilities for integrating with Vivado diff --git a/vunit/vivado/vivado.py b/vunit/vivado/vivado.py index 25f8a9d93..0526d1abd 100644 --- a/vunit/vivado/vivado.py +++ b/vunit/vivado/vivado.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ Utilities for integrating with Vivado diff --git a/vunit/vunit_cli.py b/vunit/vunit_cli.py index 8b86fb395..bcad69d15 100644 --- a/vunit/vunit_cli.py +++ b/vunit/vunit_cli.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2024, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2025, Lars Asplund lars.anders.asplund@gmail.com """ .. _custom_cli: