-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathLIFO_tb.v
124 lines (108 loc) · 2.38 KB
/
LIFO_tb.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
`timescale 1ns / 1ps
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 11:49:11 06/18/2021
// Design Name: LIFO
// Module Name: D:/Education/Semester 6/DSD Lab/Lab13/LIFO_tb.v
// Project Name: Lab13
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: LIFO
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
module LIFO_tb;
// Inputs
reg clk;
reg rst;
reg wn;
reg rn;
reg [7:0] in;
// Outputs
wire [7:0] out;
wire full;
wire empty;
// Instantiate the Unit Under Test (UUT)
LIFO uut (
.in(in),
.full(full),
.empty(empty),
.clk(clk),
.rst(rst),
.wn(wn),
.rn(rn),
.out(out)
);
initial begin
clk = 0; in = 8'd0;
rst = 1; clk = 1; #5 ; clk = 0; #5;
rst = 0;
$display("Start testing");
wn = 1; rn = 0;
in = 8'd100;
clk = 1; #5 ; clk = 0; #5;
in = 8'd150;
clk = 1; #5 ; clk = 0; #5;
in = 8'd200;
clk = 1; #5 ; clk = 0; #5;
in = 8'd40;
clk = 1; #5 ; clk = 0; #5;
in = 8'd70;
clk = 1; #5 ; clk = 0; #5;
in = 8'd65;
clk = 1; #5 ; clk = 0; #5;
in = 8'd15;
clk = 1; #5 ; clk = 0; #5;
wn = 0; rn = 1;
clk = 1; #5 ; clk = 0; #5;
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd15 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd65 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd70 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd40 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd200 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd150 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( out === 8'd100 )
$display("PASS %d ", out);
else
$display("FAIL %d ", out);
clk = 1; #5 ; clk = 0; #5;
if ( empty === 1 )
$display("PASS %d ", empty);
else
$display("FAIL %d ", empty);
end
endmodule